updating docs again

stable
penguin 3 years ago
parent 32114c85ab
commit b9d5e38cce

@ -0,0 +1 @@
penguin@penguin-arch-home.19167:1609094009

@ -16,23 +16,6 @@
#define CONF_CORE_CLK_XOSC32KCTRL_STARTUP_4000009200ns (0x5)
#define CONF_CORE_CLK_XOSC32KCTRL_STARTUP_8000009200ns (0x6)
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_31us 0x0
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_61us 0x1
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_122us 0x2
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_244us 0x3
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_488us 0x4
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_977us 0x5
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_1953us 0x6
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_3906us 0x7
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_7813us 0x8
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_15625us 0x9
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_31250us 0xA
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_62500us 0xB
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_125000us 0xC
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_250000us 0xD
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_500000us 0xE
#define CONF_CORE_CLK_XOSCCTRL_STARTUP_1000000us 0xF
// Oscillator Current Multiplier
#define CONF_CORE_CLK_XOSCCTRL_IMULT_24MHZ_TO_48MHZ (6)
#define CONF_CORE_CLK_XOSCCTRL_IMULT_16MHZ_TO_24MHZ (5)

@ -17,13 +17,14 @@
*/
/**
* Define the number of wait states for the master clock.
* Can be [0-15].
* @brief Define the number of wait states for the master clock.
* <br>Can be [0-15].
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=605">Datasheet Reference</a>
*/
#define CONF_CORE_MCLK_NVM_WAIT_STATE 0x5
/**
* Define the master clock divisor.
* @brief Define the master clock divisor.
* - 0x1 => Clock Divide by 1
* - 0x2 => Clock Divide by 2
* - 0x4 => Clock Divide by 4
@ -32,16 +33,18 @@
* - 0x20 => Clock Divide by 32
* - 0x40 => Clock Divide by 64
* - 0x80 => Clock Divide by 128
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=170">Datasheet Reference</a>
*/
#define CONF_CORE_MCLK_CPUDIV 0x1
/** @} */
/**
* Define whether the DMAC is enabled or not.
* - 0 => Disabled
* - 1 => Enabled
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=172">Datasheet Reference</a>
*/
/** @} */
#define CONF_CORE_DMA_ENABLE (0)
/**
@ -51,63 +54,326 @@
*/
#define CONF_CORE_CMCC_ENABLE (0)
/** Currently Unused */
#define CONF_CORE_PORT_EVCTRL_0_ENABLE (0)
/** Currently Unused */
#define CONF_CORE_PORT_EVCTRL_1_ENABLE (0)
/** Currently Unused */
#define CONF_CORE_PORT_EVCTRL_2_ENABLE (0)
/** @name Core-XOSC0-Configuration
* Configuration options for XOSC0.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=693">Datasheet Reference</a>
* @{
*/
/**
* XOSC0 Enable
* @brief Enables or Disables the XOSC0 Clock
* - 0 => Disables XOSC0
* - 1 => Enables XOSC0
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_ENABLE (1)
/**
* XOSC0 XTALEN
* @brief This bit controls the connections between the I/O pads and the external clock or crystal oscillator XOSC0:
* - 0 => External clock connected on XIN. XOUT can be used as general purpose I/O.
* - 1 => Crystal connected to XIN/XOUT.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_XTALEN (1)
/**
* XOSC0 Run in Standby
* @brief This bit controls how the XOSC0 behaves during standby sleep mode:
* - 0 => XOSC0 is not running in standby sleep mode if no peripheral requests the clock.
* - 1 => XOSC0 is running in standby sleep mode.
* If ONDEMAND is 1, XOSC0 will be running when a peripheral is requesting the clock.
* If ONDEMAND is 0, the clock source will always be running in standby sleep mode.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_RUNSTDBY (0)
/**
* XOSC0 On Demand Control
* @brief The On Demand operation mode allows the oscillator XOSC0 to be enabled or disabled, depending on peripheral clock requests.
* - 0 => Oscillator is always on.
* - 1 => The oscillator is running when a peripheral is requesting the oscillator to be used as a clock source.
* The oscillator is not running if no peripheral is requesting the clock source.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_ONDEMAND (0)
/**
* XOSC0 Low Buffer Gain Control Bit
* @brief The default value of LOWBUFGAIN=0 should be used to allow operating with a low amplitude oscillator.
* Don't use this setting except to solve stability issues.
* - 0 => The low buffer gain of XOSC0 is disabled.
* - 1 => The low buffer gain of XOSC0 is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_LOWBUFGAIN (0)
/**
* XOSC0 Automatic Loop Control
* @brief This bit controls the XOSC0 automatic loop control:
* - 0 => The automatic loop control is disabled.
* - 1 => The automatic loop control is enabled. Oscillator's amplitude will be automatically adjusted during Crystal Oscillator operation.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_ENALC (1)
/**
* XOSC0 Clock Failure Detector Control
* @brief This bit controls the XOSC0 clock failure detector:
* - 0 => Clock Failure Detector is disabled.
* - 1 => Clock Failure Detector is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_CFDEN (1)
/**
* XOSC0 Clock Switch Enable
* @brief This bit controls the XOSC0 output clock switch back to the external clock or crystal oscillator in case of clock recovery:
* - 0 => The clock switch back is disabled.
* - 1 => The clock switch back is enabled.
* This bit is reset once the XOSC0 output clock is switched back to the external clock or crystal oscillator.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_SWBEN (0)
#define CONF_CORE_CLK_XOSC0_STARTUP_TIME CONF_CORE_CLK_XOSCCTRL_STARTUP_31us
/**
* XOSC0 Startup Time
* @brief These bits select start-up time for XOSC0 according to the table below:
* - 0x00 => 31us
* - 0x01 => 61us
* - 0x02 => 122us
* - 0x03 => 244us
* - 0x04 => 488us
* - 0x05 => 977us
* - 0x06 => 1953us
* - 0x07 => 3906us
* - 0x08 => 7813us
* - 0x09 => 15625us
* - 0x0A => 31250us
* - 0x0B => 62500us
* - 0x0C => 125000us
* - 0x0D => 250000us
* - 0x0E => 500000us
* - 0x0F => 1000000us
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=721">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC0_STARTUP_TIME (0x00)
/**
* XOSC0 Frequency
* @brief This is the frequency of the external clock you're using for XOSC0.
* This can be anything from 8MHz to 48MHz.
*/
#define CONF_CORE_CLK_XOSC0_FREQUENCY 12000000
/** @} */
/** @name Core-XOSC1-Configuration
* Configuration options for XOSC1.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=693">Datasheet Reference</a>
* @{
*/
/**
* XOSC1 Enable
* @brief Enables or Disables the XOSC1 Clock
* - 0 => Disables XOSC1
* - 1 => Enables XOSC1
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_ENABLE (0)
/**
* XOSC1 XTALEN
* @brief This bit controls the connections between the I/O pads and the external clock or crystal oscillator XOSC1:
* - 0 => External clock connected on XIN. XOUT can be used as general purpose I/O.
* - 1 => Crystal connected to XIN/XOUT.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_XTALEN (0)
/**
* XOSC1 Run in Standby
* @brief This bit controls how the XOSC1 behaves during standby sleep mode:
* - 0 => XOSC1 is not running in standby sleep mode if no peripheral requests the clock.
* - 1 => XOSC1 is running in standby sleep mode.
* If ONDEMAND is 1, XOSC1 will be running when a peripheral is requesting the clock.
* If ONDEMAND is 0, the clock source will always be running in standby sleep mode.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=723">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_RUNSTDBY (0)
/**
* XOSC1 On Demand Control
* @brief The On Demand operation mode allows the oscillator XOSC1 to be enabled or disabled, depending on peripheral clock requests.
* - 0 => Oscillator is always on.
* - 1 => The oscillator is running when a peripheral is requesting the oscillator to be used as a clock source.
* The oscillator is not running if no peripheral is requesting the clock source.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_ONDEMAND (0)
/**
* XOSC1 Low Buffer Gain Control Bit
* @brief The default value of LOWBUFGAIN=0 should be used to allow operating with a low amplitude oscillator.
* Don't use this setting except to solve stability issues.
* - 0 => The low buffer gain of XOSC1 is disabled.
* - 1 => The low buffer gain of XOSC1 is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_LOWBUFGAIN (0)
/**
* XOSC1 Automatic Loop Control
* @brief This bit controls the XOSC1 automatic loop control:
* - 0 => The automatic loop control is disabled.
* - 1 => The automatic loop control is enabled. Oscillator's amplitude will be automatically adjusted during Crystal Oscillator operation.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_ENALC (0)
/**
* XOSC1 Clock Failure Detector Control
* @brief This bit controls the XOSC1 clock failure detector:
* - 0 => Clock Failure Detector is disabled.
* - 1 => Clock Failure Detector is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_CFDEN (0)
/**
* XOSC1 Clock Switch Enable
* @brief This bit controls the XOSC1 output clock switch back to the external clock or crystal oscillator in case of clock recovery:
* - 0 => The clock switch back is disabled.
* - 1 => The clock switch back is enabled.
* This bit is reset once the XOSC1 output clock is switched back to the external clock or crystal oscillator.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=722">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_SWBEN (0)
#define CONF_CORE_CLK_XOSC1_STARTUP_TIME CONF_CORE_CLK_XOSCCTRL_STARTUP_31us
/**
* XOSC1 Startup Time
* @brief These bits select start-up time for XOSC1 according to the table below:
* - 0x00 => 31us
* - 0x01 => 61us
* - 0x02 => 122us
* - 0x03 => 244us
* - 0x04 => 488us
* - 0x05 => 977us
* - 0x06 => 1953us
* - 0x07 => 3906us
* - 0x08 => 7813us
* - 0x09 => 15625us
* - 0x0A => 31250us
* - 0x0B => 62500us
* - 0x0C => 125000us
* - 0x0D => 250000us
* - 0x0E => 500000us
* - 0x0F => 1000000us
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=721">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC1_STARTUP_TIME (0x00)
/**
* XOSC1 Frequency
* @brief This is the frequency of the external clock you're using for XOSC1.
* This can be anything from 8MHz to 48MHz.
*/
#define CONF_CORE_CLK_XOSC1_FREQUENCY 12000000
/** @} */
/** @name Core-XOSC32K-Configuration
* Configuration options for the external 32khz oscillator.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=735">Datasheet Reference</a>
* @{
*/
/**
* XOSC32K Enable
* @brief Enables or Disables XOSC32K.
* - 0 => The oscillator is disabled.
* - 1 => The oscillator is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=749">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_ENABLE (1)
#define CONF_CORE_CLK_XOSC32K_CGM CONF_CORE_CLK_XOSC32KCTRL_CGM_STD_MODE
/**
* XOSC32K Control Gain Mode
* @brief These bits control the gain of the external crstal oscillator.
* - 0x00 => Low Power Mode
* - 0x01 => Standard Mode (Default)
* - 0x02 => High Speed Mode
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=748">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_CGM (0x01)
/**
* XOSC32K Startup Time
* @brief These bits select the startup time for the oscillator.
* - 0x00 => 62.592ms
* - 0x01 => 125.092ms
* - 0x02 => 500.092ms
* - 0x03 => 1000.0092ms
* - 0x04 => 2000.0092ms
* - 0x05 => 4000.0092ms
* - 0x06 => 8000.0092ms
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=748">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_STARTUP_TIME CONF_CORE_CLK_XOSC32KCTRL_STARTUP_62592us
/**
* XOSC32K On Demand Control
* @brief This bit controls how XOSC32K behaves when a peripheral clock request is detected.
* - 0 => On Demand Control disabled
* - 1 => On Demand Control enabled
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=748">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_ONDEMAND (1)
/**
* XOSC32K Run in Standby
* @brief This bit controls how XOSC32K behaves during standby sleep mode.
* - 0 => Run if requested by peripheral.
* - 1 => Run if requested by peripheral OR always run depending ONDEMAND value.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=748">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_RUNSTDBY (0)
/**
* XOSC32K 1KHz Output Control
* - 0 => 1KHz output is disabled.
* - 1 => 1KHz output is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=749">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_EN1K (0)
/**
* XOSC32K 32KHz Output Control
* - 0 => 32KHz output is disabled.
* - 1 => 32KHz output is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=749">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_EN32K (1)
/**
* XOSC32K Crystal Oscillator Enable
* @brief This bit controls the connections between the I/O pads and the external clock or crystal oscillator.
* - 0 => External clock is connected on XIN32. XOUT32 can be used as general-purpose I/O.
* - 1 => Crystal connected to XIN32/XOUT32.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=749">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_XTALEN (1)
/**
* XOSC32K Clock Failure Detector Prescaler
* @brief This bit selects the prescaler for the CLock Failure Detector:
* - 0 => The CFD safe clock frequency is the OSCULP32K frequency.
* - 1 => The CFD safe clock frequency is the OSCULP32K frequency divided by 2.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=750">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_CFDPRESC (0)
/**
* XOSC32K Clock Failure Detector Control
* @brief This bit selects the Clock Failulre Detector state.
* - 0 => The CFD is disabled.
* - 1 => The CFD is enabled.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=750">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_CFDEN (0)
/**
* XOSC32K Clock Switch Back
* @brief This bit controls the XOSC32K output switch back to the external clock or crystal oscillator in case of clock recovery.
* - 0 => The clock switch is disabled.
* - 1 => The clock switch is enabled. This bit is reset when XOSC32K output is switched back to the external clock or crystal oscillator.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=750">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_SWBACK (0)
/**
* XOSC32K Write Lock
* @brief This bit locks the XOSC32K register for future writes, effectively freezing the XOSC32K configuration.
* - 0 => XOSC32K configuration is not locked.
* - 1 => XOSC32K configuration is locked.
* <br>See <a href="https://ww1.microchip.com/downloads/en/DeviceDoc/SAM_D5xE5x_Family_Data_Sheet_DS60001507F.pdf#page=748">Datasheet Reference</a>
*/
#define CONF_CORE_CLK_XOSC32K_WRTLOCK (0)
/** @} */
/** @name Core-DFLL48M-Configuration
@ -199,6 +465,7 @@
#define CONF_CORE_CLK_DPLL0_REFCLK CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0
/**
* pg. 732 of the datasheet
* ~~~
* FILTER[3:0] | PLL Bandwidth (fn) | Damping Factor
* 0x0 | 92.7 kHz | 0.76
* 0x1 | 131 kHz | 1.08
@ -216,7 +483,8 @@
* 0xD | 92.7 kHz | 1.51
* 0xE | 32.8 kHz | 0.53
* 0xF | 46.4 kHz | 0.75
*
* ~~~
*
* When in doubt, leave this at its default.
*/
#define CONF_CORE_CLK_DPLL0_FILTER 0x0

@ -111,17 +111,17 @@ $(function() {
<div class="line"><a name="l00040"></a><span class="lineno"> 40</span>&#160;<span class="keywordtype">void</span> clock_osc32k_init(<span class="keywordtype">void</span>)</div>
<div class="line"><a name="l00041"></a><span class="lineno"> 41</span>&#160;{</div>
<div class="line"><a name="l00042"></a><span class="lineno"> 42</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC32K_ENABLE == 1</span></div>
<div class="line"><a name="l00043"></a><span class="lineno"> 43</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.CGM = CONF_CORE_CLK_XOSC32K_CGM;</div>
<div class="line"><a name="l00044"></a><span class="lineno"> 44</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.WRTLOCK = CONF_CORE_CLK_XOSC32K_WRTLOCK;</div>
<div class="line"><a name="l00045"></a><span class="lineno"> 45</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.STARTUP = CONF_CORE_CLK_XOSC32K_STARTUP_TIME;</div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.RUNSTDBY = CONF_CORE_CLK_XOSC32K_RUNSTDBY;</div>
<div class="line"><a name="l00047"></a><span class="lineno"> 47</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.ONDEMAND = CONF_CORE_CLK_XOSC32K_ONDEMAND;</div>
<div class="line"><a name="l00048"></a><span class="lineno"> 48</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.EN1K = CONF_CORE_CLK_XOSC32K_EN1K;</div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.EN32K = CONF_CORE_CLK_XOSC32K_EN32K;</div>
<div class="line"><a name="l00050"></a><span class="lineno"> 50</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.XTALEN = CONF_CORE_CLK_XOSC32K_XTALEN;</div>
<div class="line"><a name="l00051"></a><span class="lineno"> 51</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.CFDPRESC = CONF_CORE_CLK_XOSC32K_CFDPRESC;</div>
<div class="line"><a name="l00052"></a><span class="lineno"> 52</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.SWBACK = CONF_CORE_CLK_XOSC32K_SWBACK;</div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.CFDEN = CONF_CORE_CLK_XOSC32K_CFDEN;</div>
<div class="line"><a name="l00043"></a><span class="lineno"> 43</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.CGM = <a class="code" href="conf__core_8h.html#a031a77340c697036538d218724837de1">CONF_CORE_CLK_XOSC32K_CGM</a>;</div>
<div class="line"><a name="l00044"></a><span class="lineno"> 44</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.WRTLOCK = <a class="code" href="conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda">CONF_CORE_CLK_XOSC32K_WRTLOCK</a>;</div>
<div class="line"><a name="l00045"></a><span class="lineno"> 45</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.STARTUP = <a class="code" href="conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d">CONF_CORE_CLK_XOSC32K_STARTUP_TIME</a>;</div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.RUNSTDBY = <a class="code" href="conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e">CONF_CORE_CLK_XOSC32K_RUNSTDBY</a>;</div>
<div class="line"><a name="l00047"></a><span class="lineno"> 47</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.ONDEMAND = <a class="code" href="conf__core_8h.html#a8d70a30b50febec035af6b982daac395">CONF_CORE_CLK_XOSC32K_ONDEMAND</a>;</div>
<div class="line"><a name="l00048"></a><span class="lineno"> 48</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.EN1K = <a class="code" href="conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e">CONF_CORE_CLK_XOSC32K_EN1K</a>;</div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.EN32K = <a class="code" href="conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826">CONF_CORE_CLK_XOSC32K_EN32K</a>;</div>
<div class="line"><a name="l00050"></a><span class="lineno"> 50</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;XOSC32K.bit.XTALEN = <a class="code" href="conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a">CONF_CORE_CLK_XOSC32K_XTALEN</a>;</div>
<div class="line"><a name="l00051"></a><span class="lineno"> 51</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.CFDPRESC = <a class="code" href="conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1">CONF_CORE_CLK_XOSC32K_CFDPRESC</a>;</div>
<div class="line"><a name="l00052"></a><span class="lineno"> 52</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.SWBACK = <a class="code" href="conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5">CONF_CORE_CLK_XOSC32K_SWBACK</a>;</div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160; <a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;CFDCTRL.bit.CFDEN = <a class="code" href="conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad">CONF_CORE_CLK_XOSC32K_CFDEN</a>;</div>
<div class="line"><a name="l00054"></a><span class="lineno"> 54</span>&#160; </div>
<div class="line"><a name="l00055"></a><span class="lineno"> 55</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC32K_ENABLE == 1 &amp;&amp; CONF_CORE_CLK_XOSC32K_ONDEMAND == 0</span></div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160; <span class="keywordflow">while</span>(<a class="code" href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a>-&gt;STATUS.bit.XOSC32KRDY == 0);</div>
@ -133,34 +133,34 @@ $(function() {
<div class="line"><a name="l00062"></a><span class="lineno"> 62</span>&#160;{</div>
<div class="line"><a name="l00063"></a><span class="lineno"> 63</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC0_ENABLE == 1</span></div>
<div class="line"><a name="l00064"></a><span class="lineno"> 64</span>&#160; CRITICAL_SECTION_ENTER();</div>
<div class="line"><a name="l00065"></a><span class="lineno"> 65</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.XTALEN = CONF_CORE_CLK_XOSC0_XTALEN;</div>
<div class="line"><a name="l00066"></a><span class="lineno"> 66</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.RUNSTDBY = CONF_CORE_CLK_XOSC0_RUNSTDBY;</div>
<div class="line"><a name="l00067"></a><span class="lineno"> 67</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ONDEMAND = CONF_CORE_CLK_XOSC0_ONDEMAND;</div>
<div class="line"><a name="l00068"></a><span class="lineno"> 68</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.LOWBUFGAIN = CONF_CORE_CLK_XOSC0_LOWBUFGAIN;</div>
<div class="line"><a name="l00065"></a><span class="lineno"> 65</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.XTALEN = <a class="code" href="conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910">CONF_CORE_CLK_XOSC0_XTALEN</a>;</div>
<div class="line"><a name="l00066"></a><span class="lineno"> 66</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.RUNSTDBY = <a class="code" href="conf__core_8h.html#afac0686157854cc021424410ded071f1">CONF_CORE_CLK_XOSC0_RUNSTDBY</a>;</div>
<div class="line"><a name="l00067"></a><span class="lineno"> 67</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ONDEMAND = <a class="code" href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c">CONF_CORE_CLK_XOSC0_ONDEMAND</a>;</div>
<div class="line"><a name="l00068"></a><span class="lineno"> 68</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.LOWBUFGAIN = <a class="code" href="conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b">CONF_CORE_CLK_XOSC0_LOWBUFGAIN</a>;</div>
<div class="line"><a name="l00069"></a><span class="lineno"> 69</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.IPTAT = CONF_CORE_CLK_XOSC0_IPTAT;</div>
<div class="line"><a name="l00070"></a><span class="lineno"> 70</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.IMULT = CONF_CORE_CLK_XOSC0_IMULT;</div>
<div class="line"><a name="l00071"></a><span class="lineno"> 71</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENALC = CONF_CORE_CLK_XOSC0_ENALC;</div>
<div class="line"><a name="l00072"></a><span class="lineno"> 72</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.CFDEN = CONF_CORE_CLK_XOSC0_CFDEN;</div>
<div class="line"><a name="l00071"></a><span class="lineno"> 71</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENALC = <a class="code" href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e">CONF_CORE_CLK_XOSC0_ENALC</a>;</div>
<div class="line"><a name="l00072"></a><span class="lineno"> 72</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.CFDEN = <a class="code" href="conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151">CONF_CORE_CLK_XOSC0_CFDEN</a>;</div>
<div class="line"><a name="l00073"></a><span class="lineno"> 73</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.CFDPRESC = CONF_CORE_CLK_XOSC0_CFDPRESC;</div>
<div class="line"><a name="l00074"></a><span class="lineno"> 74</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.SWBEN = CONF_CORE_CLK_XOSC0_SWBEN;</div>
<div class="line"><a name="l00075"></a><span class="lineno"> 75</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.STARTUP = CONF_CORE_CLK_XOSC0_STARTUP_TIME;</div>
<div class="line"><a name="l00076"></a><span class="lineno"> 76</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENABLE = CONF_CORE_CLK_XOSC0_ENABLE;</div>
<div class="line"><a name="l00074"></a><span class="lineno"> 74</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.SWBEN = <a class="code" href="conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a">CONF_CORE_CLK_XOSC0_SWBEN</a>;</div>
<div class="line"><a name="l00075"></a><span class="lineno"> 75</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.STARTUP = <a class="code" href="conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c">CONF_CORE_CLK_XOSC0_STARTUP_TIME</a>;</div>
<div class="line"><a name="l00076"></a><span class="lineno"> 76</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENABLE = <a class="code" href="conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3">CONF_CORE_CLK_XOSC0_ENABLE</a>;</div>
<div class="line"><a name="l00077"></a><span class="lineno"> 77</span>&#160; CRITICAL_SECTION_LEAVE();</div>
<div class="line"><a name="l00078"></a><span class="lineno"> 78</span>&#160; <span class="keywordflow">while</span>(0 == <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;STATUS.bit.XOSCRDY0);</div>
<div class="line"><a name="l00079"></a><span class="lineno"> 79</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00080"></a><span class="lineno"> 80</span>&#160; </div>
<div class="line"><a name="l00081"></a><span class="lineno"> 81</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC1_ENABLE == 1</span></div>
<div class="line"><a name="l00082"></a><span class="lineno"> 82</span>&#160; CRITICAL_SECTION_ENTER();</div>
<div class="line"><a name="l00083"></a><span class="lineno"> 83</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.XTALEN = CONF_CORE_CLK_XOSC1_XTALEN;</div>
<div class="line"><a name="l00084"></a><span class="lineno"> 84</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.RUNSTDBY = CONF_CORE_CLK_XOSC1_RUNSTDBY;</div>
<div class="line"><a name="l00085"></a><span class="lineno"> 85</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.LOWBUFGAIN = CONF_CORE_CLK_XOSC1_LOWBUFGAIN;</div>
<div class="line"><a name="l00083"></a><span class="lineno"> 83</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.XTALEN = <a class="code" href="conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d">CONF_CORE_CLK_XOSC1_XTALEN</a>;</div>
<div class="line"><a name="l00084"></a><span class="lineno"> 84</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.RUNSTDBY = <a class="code" href="conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0">CONF_CORE_CLK_XOSC1_RUNSTDBY</a>;</div>
<div class="line"><a name="l00085"></a><span class="lineno"> 85</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.LOWBUFGAIN = <a class="code" href="conf__core_8h.html#a985ebd23986d9411d3602040e33bb405">CONF_CORE_CLK_XOSC1_LOWBUFGAIN</a>;</div>
<div class="line"><a name="l00086"></a><span class="lineno"> 86</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.IPTAT = CONF_CORE_CLK_XOSC1_IPTAT;</div>
<div class="line"><a name="l00087"></a><span class="lineno"> 87</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.IMULT = CONF_CORE_CLK_XOSC1_IMULT;</div>
<div class="line"><a name="l00088"></a><span class="lineno"> 88</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.CFDEN = CONF_CORE_CLK_XOSC1_CFDEN;</div>
<div class="line"><a name="l00088"></a><span class="lineno"> 88</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.CFDEN = <a class="code" href="conf__core_8h.html#a924214b0f469bde71ff28fe5544466db">CONF_CORE_CLK_XOSC1_CFDEN</a>;</div>
<div class="line"><a name="l00089"></a><span class="lineno"> 89</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.CFDPRESC = CONF_CORE_CLK_XOSC1_CFDPRESC;</div>
<div class="line"><a name="l00090"></a><span class="lineno"> 90</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.SWBEN = CONF_CORE_CLK_XOSC1_SWBEN;</div>
<div class="line"><a name="l00091"></a><span class="lineno"> 91</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.STARTUP = CONF_CORE_CLK_XOSC1_STARTUP_TIME;</div>
<div class="line"><a name="l00092"></a><span class="lineno"> 92</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ENABLE = CONF_CORE_CLK_XOSC1_ENABLE;</div>
<div class="line"><a name="l00090"></a><span class="lineno"> 90</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.SWBEN = <a class="code" href="conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0">CONF_CORE_CLK_XOSC1_SWBEN</a>;</div>
<div class="line"><a name="l00091"></a><span class="lineno"> 91</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.STARTUP = <a class="code" href="conf__core_8h.html#ae8d2fdac3b52964174863149986db625">CONF_CORE_CLK_XOSC1_STARTUP_TIME</a>;</div>
<div class="line"><a name="l00092"></a><span class="lineno"> 92</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ENABLE = <a class="code" href="conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a">CONF_CORE_CLK_XOSC1_ENABLE</a>;</div>
<div class="line"><a name="l00093"></a><span class="lineno"> 93</span>&#160; CRITICAL_SECTION_LEAVE();</div>
<div class="line"><a name="l00094"></a><span class="lineno"> 94</span>&#160; <span class="keywordflow">while</span>(0 == <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;STATUS.bit.XOSCRDY1);</div>
<div class="line"><a name="l00095"></a><span class="lineno"> 95</span>&#160;<span class="preprocessor">#endif</span></div>
@ -168,11 +168,11 @@ $(function() {
<div class="line"><a name="l00097"></a><span class="lineno"> 97</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC0_ENABLE == 1</span></div>
<div class="line"><a name="l00098"></a><span class="lineno"> 98</span>&#160; CRITICAL_SECTION_ENTER();</div>
<div class="line"><a name="l00099"></a><span class="lineno"> 99</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC0_ENALC == 1</span></div>
<div class="line"><a name="l00100"></a><span class="lineno"> 100</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENALC = CONF_CORE_CLK_XOSC0_ENALC;</div>
<div class="line"><a name="l00100"></a><span class="lineno"> 100</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ENALC = <a class="code" href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e">CONF_CORE_CLK_XOSC0_ENALC</a>;</div>
<div class="line"><a name="l00101"></a><span class="lineno"> 101</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00102"></a><span class="lineno"> 102</span>&#160; </div>
<div class="line"><a name="l00103"></a><span class="lineno"> 103</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC0_ONDEMAND == 1</span></div>
<div class="line"><a name="l00104"></a><span class="lineno"> 104</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ONDEMAND = CONF_CORE_CLK_XOSC0_ONDEMAND;</div>
<div class="line"><a name="l00104"></a><span class="lineno"> 104</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[0].bit.ONDEMAND = <a class="code" href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c">CONF_CORE_CLK_XOSC0_ONDEMAND</a>;</div>
<div class="line"><a name="l00105"></a><span class="lineno"> 105</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00106"></a><span class="lineno"> 106</span>&#160; CRITICAL_SECTION_LEAVE();</div>
<div class="line"><a name="l00107"></a><span class="lineno"> 107</span>&#160;<span class="preprocessor">#endif</span></div>
@ -180,11 +180,11 @@ $(function() {
<div class="line"><a name="l00109"></a><span class="lineno"> 109</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC1_ENABLE == 1</span></div>
<div class="line"><a name="l00110"></a><span class="lineno"> 110</span>&#160; CRITICAL_SECTION_ENTER();</div>
<div class="line"><a name="l00111"></a><span class="lineno"> 111</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC1_ENALC == 1</span></div>
<div class="line"><a name="l00112"></a><span class="lineno"> 112</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ENALC = CONF_CORE_CLK_XOSC1_ENALC;</div>
<div class="line"><a name="l00112"></a><span class="lineno"> 112</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ENALC = <a class="code" href="conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62">CONF_CORE_CLK_XOSC1_ENALC</a>;</div>
<div class="line"><a name="l00113"></a><span class="lineno"> 113</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00114"></a><span class="lineno"> 114</span>&#160; </div>
<div class="line"><a name="l00115"></a><span class="lineno"> 115</span>&#160;<span class="preprocessor">#if CONF_CORE_CLK_XOSC1_ONDEMAND == 1</span></div>
<div class="line"><a name="l00116"></a><span class="lineno"> 116</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ONDEMAND = CONF_CORE_CLK_XOSC1_ONDEMAND;</div>
<div class="line"><a name="l00116"></a><span class="lineno"> 116</span>&#160; <a class="code" href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a>-&gt;XOSCCTRL[1].bit.ONDEMAND = <a class="code" href="conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726">CONF_CORE_CLK_XOSC1_ONDEMAND</a>;</div>
<div class="line"><a name="l00117"></a><span class="lineno"> 117</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00118"></a><span class="lineno"> 118</span>&#160; CRITICAL_SECTION_LEAVE();</div>
<div class="line"><a name="l00119"></a><span class="lineno"> 119</span>&#160;<span class="preprocessor">#endif</span></div>
@ -526,19 +526,48 @@ $(function() {
<div class="line"><a name="l00455"></a><span class="lineno"> 455</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00456"></a><span class="lineno"> 456</span>&#160;}</div>
</div><!-- fragment --></div><!-- contents -->
<div class="ttc" id="aconf__core_8h_html_a1f088d6654bb907ff388ced455b2dbb2"><div class="ttname"><a href="conf__core_8h.html#a1f088d6654bb907ff388ced455b2dbb2">CONF_CORE_CLK_DFLL_WAITLOCK</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_WAITLOCK</div><div class="ttdoc">This bit controls the DFLL output clock, depending on the lock status:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00148">conf_core.h:148</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a9de3ab7eb7e3c001d6aa7040f6311f10"><div class="ttname"><a href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10">CONF_CORE_CLK_DPLL1_FILTER</a></div><div class="ttdeci">#define CONF_CORE_CLK_DPLL1_FILTER</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00267">conf_core.h:267</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a5cd9abca6f486eaebb6ddd236960b01a"><div class="ttname"><a href="conf__core_8h.html#a5cd9abca6f486eaebb6ddd236960b01a">CONF_CORE_CLK_DPLL0_FILTER</a></div><div class="ttdeci">#define CONF_CORE_CLK_DPLL0_FILTER</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00222">conf_core.h:222</a></div></div>
<div class="ttc" id="aconf__core_8h_html_aa9643e96439d0d47e0684a4b11c1f529"><div class="ttname"><a href="conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529">CONF_CORE_MCLK_CPUDIV</a></div><div class="ttdeci">#define CONF_CORE_MCLK_CPUDIV</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00036">conf_core.h:36</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a1f088d6654bb907ff388ced455b2dbb2"><div class="ttname"><a href="conf__core_8h.html#a1f088d6654bb907ff388ced455b2dbb2">CONF_CORE_CLK_DFLL_WAITLOCK</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_WAITLOCK</div><div class="ttdoc">This bit controls the DFLL output clock, depending on the lock status:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00414">conf_core.h:414</a></div></div>
<div class="ttc" id="aconf__core_8h_html_aaf561ace0fee1e373536a251ce8a9726"><div class="ttname"><a href="conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726">CONF_CORE_CLK_XOSC1_ONDEMAND</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_ONDEMAND</div><div class="ttdoc">The On Demand operation mode allows the oscillator XOSC1 to be enabled or disabled,...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00207">conf_core.h:207</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a17cd20bb954bc137251cf7fb63889151"><div class="ttname"><a href="conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151">CONF_CORE_CLK_XOSC0_CFDEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_CFDEN</div><div class="ttdoc">This bit controls the XOSC0 clock failure detector:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00128">conf_core.h:128</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a9de3ab7eb7e3c001d6aa7040f6311f10"><div class="ttname"><a href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10">CONF_CORE_CLK_DPLL1_FILTER</a></div><div class="ttdeci">#define CONF_CORE_CLK_DPLL1_FILTER</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00535">conf_core.h:535</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a590b1e68a3f666bdea576a32f4e74ba0"><div class="ttname"><a href="conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0">CONF_CORE_CLK_XOSC1_SWBEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_SWBEN</div><div class="ttdoc">This bit controls the XOSC1 output clock switch back to the external clock or crystal oscillator in c...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00241">conf_core.h:241</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a2683d3721ab44a85e60cfff0d0cbf96e"><div class="ttname"><a href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e">CONF_CORE_CLK_XOSC0_ENALC</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_ENALC</div><div class="ttdoc">This bit controls the XOSC0 automatic loop control:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00120">conf_core.h:120</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ae9fbb8d05dc5808f510eae4e8a629826"><div class="ttname"><a href="conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826">CONF_CORE_CLK_XOSC32K_EN32K</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_EN32K</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00336">conf_core.h:336</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a5cd9abca6f486eaebb6ddd236960b01a"><div class="ttname"><a href="conf__core_8h.html#a5cd9abca6f486eaebb6ddd236960b01a">CONF_CORE_CLK_DPLL0_FILTER</a></div><div class="ttdeci">#define CONF_CORE_CLK_DPLL0_FILTER</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00490">conf_core.h:490</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a031a77340c697036538d218724837de1"><div class="ttname"><a href="conf__core_8h.html#a031a77340c697036538d218724837de1">CONF_CORE_CLK_XOSC32K_CGM</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_CGM</div><div class="ttdoc">These bits control the gain of the external crstal oscillator.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00293">conf_core.h:293</a></div></div>
<div class="ttc" id="aconf__core_8h_html_aa9643e96439d0d47e0684a4b11c1f529"><div class="ttname"><a href="conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529">CONF_CORE_MCLK_CPUDIV</a></div><div class="ttdeci">#define CONF_CORE_MCLK_CPUDIV</div><div class="ttdoc">Define the master clock divisor.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00038">conf_core.h:38</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ac2ca0e9037347eb69d53a0011f989910"><div class="ttname"><a href="conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910">CONF_CORE_CLK_XOSC0_XTALEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_XTALEN</div><div class="ttdoc">This bit controls the connections between the I/O pads and the external clock or crystal oscillator X...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00084">conf_core.h:84</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a8bec34fdc01ac38ec0c2d13112f28aa0"><div class="ttname"><a href="conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0">CONF_CORE_CLK_XOSC1_RUNSTDBY</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_RUNSTDBY</div><div class="ttdoc">This bit controls how the XOSC1 behaves during standby sleep mode:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00198">conf_core.h:198</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a924214b0f469bde71ff28fe5544466db"><div class="ttname"><a href="conf__core_8h.html#a924214b0f469bde71ff28fe5544466db">CONF_CORE_CLK_XOSC1_CFDEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_CFDEN</div><div class="ttdoc">This bit controls the XOSC1 clock failure detector:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00232">conf_core.h:232</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a668d68edf9a7ac05be5b9cda247225ad"><div class="ttname"><a href="conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad">CONF_CORE_CLK_XOSC32K_CFDEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_CFDEN</div><div class="ttdoc">This bit selects the Clock Failulre Detector state.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00360">conf_core.h:360</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_a625e6fdb4c2120fc163e1b04178af3dd"><div class="ttname"><a href="same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd">MCLK</a></div><div class="ttdeci">#define MCLK</div><div class="ttdoc">(MCLK) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00914">same54n19a.h:914</a></div></div>
<div class="ttc" id="aconf__core_8h_html_aa54465cc56631333a22ae84ab66d5f3a"><div class="ttname"><a href="conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a">CONF_CORE_CLK_XOSC1_ENABLE</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_ENABLE</div><div class="ttdoc">Enables or Disables the XOSC1 Clock.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00180">conf_core.h:180</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a3a42de5c6251540e7b8c000974acfc62"><div class="ttname"><a href="conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62">CONF_CORE_CLK_XOSC1_ENALC</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_ENALC</div><div class="ttdoc">This bit controls the XOSC1 automatic loop control:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00224">conf_core.h:224</a></div></div>
<div class="ttc" id="aconf__core_8h_html_abe235b3b13f253acb855d15c8f33c95a"><div class="ttname"><a href="conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a">CONF_CORE_CLK_XOSC0_SWBEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_SWBEN</div><div class="ttdoc">This bit controls the XOSC0 output clock switch back to the external clock or crystal oscillator in c...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00137">conf_core.h:137</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a61559adc856ce1dcfa046e749af63bc3"><div class="ttname"><a href="conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3">CONF_CORE_CLK_XOSC0_ENABLE</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_ENABLE</div><div class="ttdoc">Enables or Disables the XOSC0 Clock.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00076">conf_core.h:76</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ae3f8741e2be4b37a46dfb49af2c2a09d"><div class="ttname"><a href="conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d">CONF_CORE_CLK_XOSC1_XTALEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_XTALEN</div><div class="ttdoc">This bit controls the connections between the I/O pads and the external clock or crystal oscillator X...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00188">conf_core.h:188</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a6a65a6f4bf7e21dc2003b61a7045e24a"><div class="ttname"><a href="conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a">CONF_CORE_CLK_XOSC32K_XTALEN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_XTALEN</div><div class="ttdoc">This bit controls the connections between the I/O pads and the external clock or crystal oscillator.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00344">conf_core.h:344</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_af1a4b8f1d1a2265b93f22621f7903f88"><div class="ttname"><a href="same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88">OSC32KCTRL</a></div><div class="ttdeci">#define OSC32KCTRL</div><div class="ttdoc">(OSC32KCTRL) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00929">same54n19a.h:929</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a55515b150245a993779a0d5f417cc828"><div class="ttname"><a href="conf__core_8h.html#a55515b150245a993779a0d5f417cc828">CONF_CORE_CLK_DFLL_ONDEMAND</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_ONDEMAND</div><div class="ttdoc">Enables or Disables on-demand operation.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00132">conf_core.h:132</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a314b78ee48f1ecf6c40f4bad7ef63d9d"><div class="ttname"><a href="conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d">CONF_CORE_CLK_XOSC32K_STARTUP_TIME</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_STARTUP_TIME</div><div class="ttdoc">These bits select the startup time for the oscillator.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00306">conf_core.h:306</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a55515b150245a993779a0d5f417cc828"><div class="ttname"><a href="conf__core_8h.html#a55515b150245a993779a0d5f417cc828">CONF_CORE_CLK_DFLL_ONDEMAND</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_ONDEMAND</div><div class="ttdoc">Enables or Disables on-demand operation.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00398">conf_core.h:398</a></div></div>
<div class="ttc" id="aconf__core_8h_html_adc7a2f161e9e8e54388b1f290066247e"><div class="ttname"><a href="conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e">CONF_CORE_CLK_XOSC32K_RUNSTDBY</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_RUNSTDBY</div><div class="ttdoc">This bit controls how XOSC32K behaves during standby sleep mode.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00322">conf_core.h:322</a></div></div>
<div class="ttc" id="aconf__core_8h_html_affc8201cf2340d2236ba9ca44a1e657c"><div class="ttname"><a href="conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c">CONF_CORE_CLK_XOSC0_STARTUP_TIME</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_STARTUP_TIME</div><div class="ttdoc">These bits select start-up time for XOSC0 according to the table below:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00159">conf_core.h:159</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a8d70a30b50febec035af6b982daac395"><div class="ttname"><a href="conf__core_8h.html#a8d70a30b50febec035af6b982daac395">CONF_CORE_CLK_XOSC32K_ONDEMAND</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_ONDEMAND</div><div class="ttdoc">This bit controls how XOSC32K behaves when a peripheral clock request is detected.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00314">conf_core.h:314</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_a49136ad5bf1adc9e9a0232349bcdce57"><div class="ttname"><a href="same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57">OSCCTRL</a></div><div class="ttdeci">#define OSCCTRL</div><div class="ttdoc">(OSCCTRL) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00925">same54n19a.h:925</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ab6b320dcf67ec88f534adcbf77e2ce7b"><div class="ttname"><a href="conf__core_8h.html#ab6b320dcf67ec88f534adcbf77e2ce7b">CONF_CORE_CLK_DFLL_RUNSTDBY</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_RUNSTDBY</div><div class="ttdoc">Enables or Disables run-in-standby operation.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00140">conf_core.h:140</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a42b0270d2f3c0f51c4b3d2b8ac397fda"><div class="ttname"><a href="conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda">CONF_CORE_CLK_XOSC32K_WRTLOCK</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_WRTLOCK</div><div class="ttdoc">This bit locks the XOSC32K register for future writes, effectively freezing the XOSC32K configuration...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00376">conf_core.h:376</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a79567f94a0f3ad2d628bcf5e1cff62d1"><div class="ttname"><a href="conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1">CONF_CORE_CLK_XOSC32K_CFDPRESC</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_CFDPRESC</div><div class="ttdoc">This bit selects the prescaler for the CLock Failure Detector:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00352">conf_core.h:352</a></div></div>
<div class="ttc" id="aconf__core_8h_html_afac0686157854cc021424410ded071f1"><div class="ttname"><a href="conf__core_8h.html#afac0686157854cc021424410ded071f1">CONF_CORE_CLK_XOSC0_RUNSTDBY</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_RUNSTDBY</div><div class="ttdoc">This bit controls how the XOSC0 behaves during standby sleep mode:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00094">conf_core.h:94</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ae8d2fdac3b52964174863149986db625"><div class="ttname"><a href="conf__core_8h.html#ae8d2fdac3b52964174863149986db625">CONF_CORE_CLK_XOSC1_STARTUP_TIME</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_STARTUP_TIME</div><div class="ttdoc">These bits select start-up time for XOSC1 according to the table below:</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00263">conf_core.h:263</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a033f3571fb50a6ed02278d65ea84b45e"><div class="ttname"><a href="conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e">CONF_CORE_CLK_XOSC32K_EN1K</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_EN1K</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00329">conf_core.h:329</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ab6b320dcf67ec88f534adcbf77e2ce7b"><div class="ttname"><a href="conf__core_8h.html#ab6b320dcf67ec88f534adcbf77e2ce7b">CONF_CORE_CLK_DFLL_RUNSTDBY</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_RUNSTDBY</div><div class="ttdoc">Enables or Disables run-in-standby operation.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00406">conf_core.h:406</a></div></div>
<div class="ttc" id="aconf__core_8h_html"><div class="ttname"><a href="conf__core_8h.html">conf_core.h</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a03160c4c7871685bbde0e96f02825842"><div class="ttname"><a href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842">CONF_CORE_CLK_DFLL_ENABLE</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_ENABLE</div><div class="ttdoc">Enables or Disables the DFLL48M Clock.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00124">conf_core.h:124</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a5ffaa1551b7ffb8a342b2cc5fbc5950c"><div class="ttname"><a href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c">CONF_CORE_CLK_XOSC0_ONDEMAND</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_ONDEMAND</div><div class="ttdoc">The On Demand operation mode allows the oscillator XOSC0 to be enabled or disabled,...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00103">conf_core.h:103</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ac6e671eee4ca4aeb9fcbb87d52b457b5"><div class="ttname"><a href="conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5">CONF_CORE_CLK_XOSC32K_SWBACK</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC32K_SWBACK</div><div class="ttdoc">This bit controls the XOSC32K output switch back to the external clock or crystal oscillator in case ...</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00368">conf_core.h:368</a></div></div>
<div class="ttc" id="aconf__core_8h_html_aeea7b0d3663bb6d5ccc3218017f9a05b"><div class="ttname"><a href="conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b">CONF_CORE_CLK_XOSC0_LOWBUFGAIN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC0_LOWBUFGAIN</div><div class="ttdoc">The default value of LOWBUFGAIN=0 should be used to allow operating with a low amplitude oscillator....</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00112">conf_core.h:112</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a03160c4c7871685bbde0e96f02825842"><div class="ttname"><a href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842">CONF_CORE_CLK_DFLL_ENABLE</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_ENABLE</div><div class="ttdoc">Enables or Disables the DFLL48M Clock.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00390">conf_core.h:390</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_a23f9186cfd6ee5e60c8485315183271f"><div class="ttname"><a href="same54n19a_8h.html#a23f9186cfd6ee5e60c8485315183271f">GCLK</a></div><div class="ttdeci">#define GCLK</div><div class="ttdoc">(GCLK) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00894">same54n19a.h:894</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a0d8e56832f3d1f24b98173e3a3aa0046"><div class="ttname"><a href="conf__core_8h.html#a0d8e56832f3d1f24b98173e3a3aa0046">CONF_CORE_CLK_DFLL_GCLK_SRC</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_GCLK_SRC</div><div class="ttdoc">GCLK Source used to generate DFLL48M.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00178">conf_core.h:178</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a0d8e56832f3d1f24b98173e3a3aa0046"><div class="ttname"><a href="conf__core_8h.html#a0d8e56832f3d1f24b98173e3a3aa0046">CONF_CORE_CLK_DFLL_GCLK_SRC</a></div><div class="ttdeci">#define CONF_CORE_CLK_DFLL_GCLK_SRC</div><div class="ttdoc">GCLK Source used to generate DFLL48M.</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00444">conf_core.h:444</a></div></div>
<div class="ttc" id="aconf__core_8h_html_a985ebd23986d9411d3602040e33bb405"><div class="ttname"><a href="conf__core_8h.html#a985ebd23986d9411d3602040e33bb405">CONF_CORE_CLK_XOSC1_LOWBUFGAIN</a></div><div class="ttdeci">#define CONF_CORE_CLK_XOSC1_LOWBUFGAIN</div><div class="ttdoc">The default value of LOWBUFGAIN=0 should be used to allow operating with a low amplitude oscillator....</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00216">conf_core.h:216</a></div></div>
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated by&#160;<a href="http://www.doxygen.org/index.html"><img class="footer" src="doxygen.svg" width="104" height="31" alt="doxygen"/></a> 1.8.20

@ -87,67 +87,50 @@ $(function() {
<div class="line"><a name="l00016"></a><span class="lineno"> 16</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32KCTRL_STARTUP_4000009200ns (0x5)</span></div>
<div class="line"><a name="l00017"></a><span class="lineno"> 17</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32KCTRL_STARTUP_8000009200ns (0x6)</span></div>
<div class="line"><a name="l00018"></a><span class="lineno"> 18</span>&#160; </div>
<div class="line"><a name="l00019"></a><span class="lineno"> 19</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_31us 0x0</span></div>
<div class="line"><a name="l00020"></a><span class="lineno"> 20</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_61us 0x1</span></div>
<div class="line"><a name="l00021"></a><span class="lineno"> 21</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_122us 0x2</span></div>
<div class="line"><a name="l00022"></a><span class="lineno"> 22</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_244us 0x3</span></div>
<div class="line"><a name="l00023"></a><span class="lineno"> 23</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_488us 0x4</span></div>
<div class="line"><a name="l00024"></a><span class="lineno"> 24</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_977us 0x5</span></div>
<div class="line"><a name="l00025"></a><span class="lineno"> 25</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_1953us 0x6</span></div>
<div class="line"><a name="l00026"></a><span class="lineno"> 26</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_3906us 0x7</span></div>
<div class="line"><a name="l00027"></a><span class="lineno"> 27</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_7813us 0x8</span></div>
<div class="line"><a name="l00028"></a><span class="lineno"> 28</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_15625us 0x9</span></div>
<div class="line"><a name="l00029"></a><span class="lineno"> 29</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_31250us 0xA</span></div>
<div class="line"><a name="l00030"></a><span class="lineno"> 30</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_62500us 0xB</span></div>
<div class="line"><a name="l00031"></a><span class="lineno"> 31</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_125000us 0xC</span></div>
<div class="line"><a name="l00032"></a><span class="lineno"> 32</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_250000us 0xD</span></div>
<div class="line"><a name="l00033"></a><span class="lineno"> 33</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_500000us 0xE</span></div>
<div class="line"><a name="l00034"></a><span class="lineno"> 34</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_STARTUP_1000000us 0xF</span></div>
<div class="line"><a name="l00035"></a><span class="lineno"> 35</span>&#160; </div>
<div class="line"><a name="l00036"></a><span class="lineno"> 36</span>&#160;<span class="comment">// Oscillator Current Multiplier</span></div>
<div class="line"><a name="l00037"></a><span class="lineno"> 37</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_24MHZ_TO_48MHZ (6)</span></div>
<div class="line"><a name="l00038"></a><span class="lineno"> 38</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_16MHZ_TO_24MHZ (5)</span></div>
<div class="line"><a name="l00039"></a><span class="lineno"> 39</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_8MHZ_TO_16MHZ (4)</span></div>
<div class="line"><a name="l00040"></a><span class="lineno"> 40</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_8MHZ (3)</span></div>
<div class="line"><a name="l00041"></a><span class="lineno"> 41</span>&#160; </div>
<div class="line"><a name="l00042"></a><span class="lineno"> 42</span>&#160;<span class="comment">// Oscillator Current Reference</span></div>
<div class="line"><a name="l00043"></a><span class="lineno"> 43</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_24MHZ_TO_48MHZ (3)</span></div>
<div class="line"><a name="l00044"></a><span class="lineno"> 44</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_16MHZ_TO_24MHZ (3)</span></div>
<div class="line"><a name="l00045"></a><span class="lineno"> 45</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_8MHZ_TO_16MHZ (3)</span></div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_8MHZ (2)</span></div>
<div class="line"><a name="l00047"></a><span class="lineno"> 47</span>&#160; </div>
<div class="line"><a name="l00048"></a><span class="lineno"> 48</span>&#160;<span class="comment">// DFLL Definitions</span></div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_NOT_FIXED (0)</span></div>
<div class="line"><a name="l00050"></a><span class="lineno"> 50</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_FIXED (1)</span></div>
<div class="line"><a name="l00051"></a><span class="lineno"> 51</span>&#160; </div>
<div class="line"><a name="l00052"></a><span class="lineno"> 52</span>&#160;<span class="comment">// DPLL Definitions</span></div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ (0x0)</span></div>
<div class="line"><a name="l00054"></a><span class="lineno"> 54</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_1600KHZ (0x1)</span></div>
<div class="line"><a name="l00055"></a><span class="lineno"> 55</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_1100KHZ (0x2)</span></div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_800KHZ (0x3)</span></div>
<div class="line"><a name="l00057"></a><span class="lineno"> 57</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_640KHZ (0x4)</span></div>
<div class="line"><a name="l00058"></a><span class="lineno"> 58</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_550KHZ (0x5)</span></div>
<div class="line"><a name="l00059"></a><span class="lineno"> 59</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_450KHZ (0x6)</span></div>
<div class="line"><a name="l00060"></a><span class="lineno"> 60</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_400KHZ (0x7)</span></div>
<div class="line"><a name="l00061"></a><span class="lineno"> 61</span>&#160; </div>
<div class="line"><a name="l00062"></a><span class="lineno"> 62</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_NONE (0x0)</span></div>
<div class="line"><a name="l00063"></a><span class="lineno"> 63</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_800us (0x4)</span></div>
<div class="line"><a name="l00064"></a><span class="lineno"> 64</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_900us (0x5)</span></div>
<div class="line"><a name="l00065"></a><span class="lineno"> 65</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_1000us (0x6)</span></div>
<div class="line"><a name="l00066"></a><span class="lineno"> 66</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_1100us (0x7)</span></div>
<div class="line"><a name="l00067"></a><span class="lineno"> 67</span>&#160; </div>
<div class="line"><a name="l00068"></a><span class="lineno"> 68</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_GCLK (0x0)</span></div>
<div class="line"><a name="l00069"></a><span class="lineno"> 69</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC32 (0x1)</span></div>
<div class="line"><a name="l00070"></a><span class="lineno"> 70</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0 (0x2)</span></div>
<div class="line"><a name="l00071"></a><span class="lineno"> 71</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC1 (0x3)</span></div>
<div class="line"><a name="l00072"></a><span class="lineno"> 72</span>&#160; </div>
<div class="line"><a name="l00073"></a><span class="lineno"> 73</span>&#160;<span class="keywordtype">void</span> clock_osc32k_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00074"></a><span class="lineno"> 74</span>&#160;<span class="keywordtype">void</span> clock_osc_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00075"></a><span class="lineno"> 75</span>&#160;<span class="keywordtype">void</span> clock_mclk_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00076"></a><span class="lineno"> 76</span>&#160;<span class="keywordtype">void</span> clock_gclk_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00077"></a><span class="lineno"> 77</span>&#160;<span class="keywordtype">void</span> clock_dpll_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00078"></a><span class="lineno"> 78</span>&#160;<span class="keywordtype">void</span> clock_dfll_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00079"></a><span class="lineno"> 79</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00019"></a><span class="lineno"> 19</span>&#160;<span class="comment">// Oscillator Current Multiplier</span></div>
<div class="line"><a name="l00020"></a><span class="lineno"> 20</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_24MHZ_TO_48MHZ (6)</span></div>
<div class="line"><a name="l00021"></a><span class="lineno"> 21</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_16MHZ_TO_24MHZ (5)</span></div>
<div class="line"><a name="l00022"></a><span class="lineno"> 22</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_8MHZ_TO_16MHZ (4)</span></div>
<div class="line"><a name="l00023"></a><span class="lineno"> 23</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IMULT_8MHZ (3)</span></div>
<div class="line"><a name="l00024"></a><span class="lineno"> 24</span>&#160; </div>
<div class="line"><a name="l00025"></a><span class="lineno"> 25</span>&#160;<span class="comment">// Oscillator Current Reference</span></div>
<div class="line"><a name="l00026"></a><span class="lineno"> 26</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_24MHZ_TO_48MHZ (3)</span></div>
<div class="line"><a name="l00027"></a><span class="lineno"> 27</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_16MHZ_TO_24MHZ (3)</span></div>
<div class="line"><a name="l00028"></a><span class="lineno"> 28</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_8MHZ_TO_16MHZ (3)</span></div>
<div class="line"><a name="l00029"></a><span class="lineno"> 29</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSCCTRL_IPTAT_8MHZ (2)</span></div>
<div class="line"><a name="l00030"></a><span class="lineno"> 30</span>&#160; </div>
<div class="line"><a name="l00031"></a><span class="lineno"> 31</span>&#160;<span class="comment">// DFLL Definitions</span></div>
<div class="line"><a name="l00032"></a><span class="lineno"> 32</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_NOT_FIXED (0)</span></div>
<div class="line"><a name="l00033"></a><span class="lineno"> 33</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_FIXED (1)</span></div>
<div class="line"><a name="l00034"></a><span class="lineno"> 34</span>&#160; </div>
<div class="line"><a name="l00035"></a><span class="lineno"> 35</span>&#160;<span class="comment">// DPLL Definitions</span></div>
<div class="line"><a name="l00036"></a><span class="lineno"> 36</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ (0x0)</span></div>
<div class="line"><a name="l00037"></a><span class="lineno"> 37</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_1600KHZ (0x1)</span></div>
<div class="line"><a name="l00038"></a><span class="lineno"> 38</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_1100KHZ (0x2)</span></div>
<div class="line"><a name="l00039"></a><span class="lineno"> 39</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_800KHZ (0x3)</span></div>
<div class="line"><a name="l00040"></a><span class="lineno"> 40</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_640KHZ (0x4)</span></div>
<div class="line"><a name="l00041"></a><span class="lineno"> 41</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_550KHZ (0x5)</span></div>
<div class="line"><a name="l00042"></a><span class="lineno"> 42</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_450KHZ (0x6)</span></div>
<div class="line"><a name="l00043"></a><span class="lineno"> 43</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_DCOFILTER_400KHZ (0x7)</span></div>
<div class="line"><a name="l00044"></a><span class="lineno"> 44</span>&#160; </div>
<div class="line"><a name="l00045"></a><span class="lineno"> 45</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_NONE (0x0)</span></div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_800us (0x4)</span></div>
<div class="line"><a name="l00047"></a><span class="lineno"> 47</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_900us (0x5)</span></div>
<div class="line"><a name="l00048"></a><span class="lineno"> 48</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_1000us (0x6)</span></div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_LTIME_TIMEOUT_1100us (0x7)</span></div>
<div class="line"><a name="l00050"></a><span class="lineno"> 50</span>&#160; </div>
<div class="line"><a name="l00051"></a><span class="lineno"> 51</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_GCLK (0x0)</span></div>
<div class="line"><a name="l00052"></a><span class="lineno"> 52</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC32 (0x1)</span></div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0 (0x2)</span></div>
<div class="line"><a name="l00054"></a><span class="lineno"> 54</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC1 (0x3)</span></div>
<div class="line"><a name="l00055"></a><span class="lineno"> 55</span>&#160; </div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160;<span class="keywordtype">void</span> clock_osc32k_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00057"></a><span class="lineno"> 57</span>&#160;<span class="keywordtype">void</span> clock_osc_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00058"></a><span class="lineno"> 58</span>&#160;<span class="keywordtype">void</span> clock_mclk_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00059"></a><span class="lineno"> 59</span>&#160;<span class="keywordtype">void</span> clock_gclk_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00060"></a><span class="lineno"> 60</span>&#160;<span class="keywordtype">void</span> clock_dpll_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00061"></a><span class="lineno"> 61</span>&#160;<span class="keywordtype">void</span> clock_dfll_init(<span class="keywordtype">void</span>);</div>
<div class="line"><a name="l00062"></a><span class="lineno"> 62</span>&#160;<span class="preprocessor">#endif</span></div>
</div><!-- fragment --></div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>

File diff suppressed because it is too large Load Diff

@ -74,221 +74,252 @@ $(function() {
<div class="line"><a name="l00011"></a><span class="lineno"> 11</span>&#160;<span class="preprocessor">#define _CONF_CLOCKS_H_</span></div>
<div class="line"><a name="l00012"></a><span class="lineno"> 12</span>&#160;<span class="preprocessor">#include &quot;clocks.h&quot;</span></div>
<div class="line"><a name="l00013"></a><span class="lineno"> 13</span>&#160; </div>
<div class="line"><a name="l00023"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf"> 23</a></span>&#160;<span class="preprocessor">#define CONF_CORE_MCLK_NVM_WAIT_STATE 0x5</span></div>
<div class="line"><a name="l00024"></a><span class="lineno"> 24</span>&#160; </div>
<div class="line"><a name="l00036"></a><span class="lineno"><a class="line" href="conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529"> 36</a></span>&#160;<span class="preprocessor">#define CONF_CORE_MCLK_CPUDIV 0x1</span></div>
<div class="line"><a name="l00037"></a><span class="lineno"> 37</span>&#160; </div>
<div class="line"><a name="l00045"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a16a34b830edda5b571c41a0f865f7051"> 45</a></span>&#160;<span class="preprocessor">#define CONF_CORE_DMA_ENABLE (0)</span></div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160; </div>
<div class="line"><a name="l00052"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405"> 52</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CMCC_ENABLE (0)</span></div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160; </div>
<div class="line"><a name="l00054"></a><span class="lineno"> 54</span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_0_ENABLE (0)</span></div>
<div class="line"><a name="l00055"></a><span class="lineno"> 55</span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_1_ENABLE (0)</span></div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_2_ENABLE (0)</span></div>
<div class="line"><a name="l00057"></a><span class="lineno"> 57</span>&#160; </div>
<div class="line"><a name="l00062"></a><span class="lineno"> 62</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ENABLE (1)</span></div>
<div class="line"><a name="l00063"></a><span class="lineno"> 63</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_XTALEN (1)</span></div>
<div class="line"><a name="l00064"></a><span class="lineno"> 64</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00065"></a><span class="lineno"> 65</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ONDEMAND (0)</span></div>
<div class="line"><a name="l00066"></a><span class="lineno"> 66</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_LOWBUFGAIN (0)</span></div>
<div class="line"><a name="l00067"></a><span class="lineno"> 67</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ENALC (1)</span></div>
<div class="line"><a name="l00068"></a><span class="lineno"> 68</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_CFDEN (1)</span></div>
<div class="line"><a name="l00069"></a><span class="lineno"> 69</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_SWBEN (0)</span></div>
<div class="line"><a name="l00070"></a><span class="lineno"> 70</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_STARTUP_TIME CONF_CORE_CLK_XOSCCTRL_STARTUP_31us</span></div>
<div class="line"><a name="l00071"></a><span class="lineno"> 71</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_FREQUENCY 12000000</span></div>
<div class="line"><a name="l00072"></a><span class="lineno"> 72</span>&#160; </div>
<div class="line"><a name="l00080"></a><span class="lineno"> 80</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ENABLE (0)</span></div>
<div class="line"><a name="l00081"></a><span class="lineno"> 81</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_XTALEN (0)</span></div>
<div class="line"><a name="l00082"></a><span class="lineno"> 82</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00083"></a><span class="lineno"> 83</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ONDEMAND (0)</span></div>
<div class="line"><a name="l00084"></a><span class="lineno"> 84</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_LOWBUFGAIN (0)</span></div>
<div class="line"><a name="l00085"></a><span class="lineno"> 85</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ENALC (0)</span></div>
<div class="line"><a name="l00086"></a><span class="lineno"> 86</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_CFDEN (0)</span></div>
<div class="line"><a name="l00087"></a><span class="lineno"> 87</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_SWBEN (0)</span></div>
<div class="line"><a name="l00088"></a><span class="lineno"> 88</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_STARTUP_TIME CONF_CORE_CLK_XOSCCTRL_STARTUP_31us</span></div>
<div class="line"><a name="l00089"></a><span class="lineno"> 89</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_FREQUENCY 12000000</span></div>
<div class="line"><a name="l00090"></a><span class="lineno"> 90</span>&#160; </div>
<div class="line"><a name="l00098"></a><span class="lineno"> 98</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_ENABLE (1)</span></div>
<div class="line"><a name="l00099"></a><span class="lineno"> 99</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CGM CONF_CORE_CLK_XOSC32KCTRL_CGM_STD_MODE</span></div>
<div class="line"><a name="l00100"></a><span class="lineno"> 100</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_STARTUP_TIME CONF_CORE_CLK_XOSC32KCTRL_STARTUP_62592us</span></div>
<div class="line"><a name="l00101"></a><span class="lineno"> 101</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_ONDEMAND (1)</span></div>
<div class="line"><a name="l00102"></a><span class="lineno"> 102</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00103"></a><span class="lineno"> 103</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_EN1K (0)</span></div>
<div class="line"><a name="l00104"></a><span class="lineno"> 104</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_EN32K (1)</span></div>
<div class="line"><a name="l00105"></a><span class="lineno"> 105</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_XTALEN (1)</span></div>
<div class="line"><a name="l00106"></a><span class="lineno"> 106</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CFDPRESC (0)</span></div>
<div class="line"><a name="l00107"></a><span class="lineno"> 107</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CFDEN (0)</span></div>
<div class="line"><a name="l00108"></a><span class="lineno"> 108</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_SWBACK (0)</span></div>
<div class="line"><a name="l00109"></a><span class="lineno"> 109</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_WRTLOCK (0)</span></div>
<div class="line"><a name="l00110"></a><span class="lineno"> 110</span>&#160; </div>
<div class="line"><a name="l00124"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842"> 124</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_ENABLE (1)</span></div>
<div class="line"><a name="l00125"></a><span class="lineno"> 125</span>&#160; </div>
<div class="line"><a name="l00132"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a55515b150245a993779a0d5f417cc828"> 132</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_ONDEMAND (0)</span></div>
<div class="line"><a name="l00133"></a><span class="lineno"> 133</span>&#160; </div>
<div class="line"><a name="l00140"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ab6b320dcf67ec88f534adcbf77e2ce7b"> 140</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00141"></a><span class="lineno"> 141</span>&#160; </div>
<div class="line"><a name="l00148"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a1f088d6654bb907ff388ced455b2dbb2"> 148</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_WAITLOCK (0)</span></div>
<div class="line"><a name="l00149"></a><span class="lineno"> 149</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_BPLKC (0)</span></div>
<div class="line"><a name="l00150"></a><span class="lineno"> 150</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_QLDIS (0)</span></div>
<div class="line"><a name="l00151"></a><span class="lineno"> 151</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_CCDIS (1)</span></div>
<div class="line"><a name="l00152"></a><span class="lineno"> 152</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_USBCRM (1)</span></div>
<div class="line"><a name="l00153"></a><span class="lineno"> 153</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_LLAW (0)</span></div>
<div class="line"><a name="l00154"></a><span class="lineno"> 154</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_STABLE_FCALIB CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_NOT_FIXED</span></div>
<div class="line"><a name="l00155"></a><span class="lineno"> 155</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_MODE 0x01</span></div>
<div class="line"><a name="l00156"></a><span class="lineno"> 156</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_DIFF_VAL 0</span></div>
<div class="line"><a name="l00157"></a><span class="lineno"> 157</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_COARSE_VAL (0x1f / 4)</span></div>
<div class="line"><a name="l00158"></a><span class="lineno"> 158</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_FINE_VAL 128</span></div>
<div class="line"><a name="l00159"></a><span class="lineno"> 159</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_CSTEP_VAL 1</span></div>
<div class="line"><a name="l00160"></a><span class="lineno"> 160</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_FSTEP_VAL 1</span></div>
<div class="line"><a name="l00161"></a><span class="lineno"> 161</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_MUL_VAL (48000000)</span></div>
<div class="line"><a name="l00162"></a><span class="lineno"> 162</span>&#160; </div>
<div class="line"><a name="l00178"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a0d8e56832f3d1f24b98173e3a3aa0046"> 178</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_GCLK_SRC 3</span></div>
<div class="line"><a name="l00179"></a><span class="lineno"> 179</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_OVERWRITE_CAL 0</span></div>
<div class="line"><a name="l00180"></a><span class="lineno"> 180</span>&#160; </div>
<div class="line"><a name="l00187"></a><span class="lineno"> 187</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_ENABLE (1)</span></div>
<div class="line"><a name="l00188"></a><span class="lineno"> 188</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_ONDEMAND (0)</span></div>
<div class="line"><a name="l00189"></a><span class="lineno"> 189</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00190"></a><span class="lineno"> 190</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LDRFRAC_VAL 0</span></div>
<div class="line"><a name="l00191"></a><span class="lineno"> 191</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LDR_VAL (119)</span></div>
<div class="line"><a name="l00192"></a><span class="lineno"> 192</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DIV_VAL (5)</span></div>
<div class="line"><a name="l00193"></a><span class="lineno"> 193</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DCOEN 0</span></div>
<div class="line"><a name="l00194"></a><span class="lineno"> 194</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DCOFILTER CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ</span></div>
<div class="line"><a name="l00195"></a><span class="lineno"> 195</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LBYPASS 1</span></div>
<div class="line"><a name="l00196"></a><span class="lineno"> 196</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LTIME 0</span></div>
<div class="line"><a name="l00197"></a><span class="lineno"> 197</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_WUF 0</span></div>
<div class="line"><a name="l00198"></a><span class="lineno"> 198</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_GCLK_SRC GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00199"></a><span class="lineno"> 199</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_REFCLK CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0</span></div>
<div class="line"><a name="l00200"></a><span class="lineno"> 200</span>&#160; </div>
<div class="line"><a name="l00222"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a5cd9abca6f486eaebb6ddd236960b01a"> 222</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_FILTER 0x0</span></div>
<div class="line"><a name="l00223"></a><span class="lineno"> 223</span>&#160; </div>
<div class="line"><a name="l00230"></a><span class="lineno"> 230</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_ENABLE (0)</span></div>
<div class="line"><a name="l00231"></a><span class="lineno"> 231</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_ONDEMAND (0)</span></div>
<div class="line"><a name="l00232"></a><span class="lineno"> 232</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00233"></a><span class="lineno"> 233</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LDRFRAC_VAL 0</span></div>
<div class="line"><a name="l00234"></a><span class="lineno"> 234</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LDR_VAL (0)</span></div>
<div class="line"><a name="l00235"></a><span class="lineno"> 235</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DIV_VAL (0)</span></div>
<div class="line"><a name="l00236"></a><span class="lineno"> 236</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DCOEN 0</span></div>
<div class="line"><a name="l00237"></a><span class="lineno"> 237</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DCOFILTER CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ</span></div>
<div class="line"><a name="l00238"></a><span class="lineno"> 238</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LBYPASS 0</span></div>
<div class="line"><a name="l00239"></a><span class="lineno"> 239</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LTIME 0</span></div>
<div class="line"><a name="l00240"></a><span class="lineno"> 240</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_WUF 0</span></div>
<div class="line"><a name="l00241"></a><span class="lineno"> 241</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_GCLK_SRC GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00242"></a><span class="lineno"> 242</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_REFCLK CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0</span></div>
<div class="line"><a name="l00243"></a><span class="lineno"> 243</span>&#160; </div>
<div class="line"><a name="l00267"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10"> 267</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_FILTER 0x0</span></div>
<div class="line"><a name="l00268"></a><span class="lineno"> 268</span>&#160; </div>
<div class="line"><a name="l00271"></a><span class="lineno"> 271</span>&#160;<span class="comment">// GCLK Generators Config</span></div>
<div class="line"><a name="l00272"></a><span class="lineno"> 272</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_ENABLE 1</span></div>
<div class="line"><a name="l00273"></a><span class="lineno"> 273</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_RUN_IN_STANDBY 1</span></div>
<div class="line"><a name="l00274"></a><span class="lineno"> 274</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00275"></a><span class="lineno"> 275</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_DIV_VAL 1</span></div>
<div class="line"><a name="l00276"></a><span class="lineno"> 276</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_DIVSEL 0</span></div>
<div class="line"><a name="l00277"></a><span class="lineno"> 277</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_OUTPUT_ENABLE 1</span></div>
<div class="line"><a name="l00278"></a><span class="lineno"> 278</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_OUTPUT_OFF_VALUE 1</span></div>
<div class="line"><a name="l00279"></a><span class="lineno"> 279</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_IDC 1</span></div>
<div class="line"><a name="l00280"></a><span class="lineno"> 280</span>&#160; </div>
<div class="line"><a name="l00281"></a><span class="lineno"> 281</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_ENABLE 0</span></div>
<div class="line"><a name="l00282"></a><span class="lineno"> 282</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00283"></a><span class="lineno"> 283</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00284"></a><span class="lineno"> 284</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_DIV_VAL 1</span></div>
<div class="line"><a name="l00285"></a><span class="lineno"> 285</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_DIVSEL 0</span></div>
<div class="line"><a name="l00286"></a><span class="lineno"> 286</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00287"></a><span class="lineno"> 287</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00288"></a><span class="lineno"> 288</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_IDC 0</span></div>
<div class="line"><a name="l00289"></a><span class="lineno"> 289</span>&#160; </div>
<div class="line"><a name="l00290"></a><span class="lineno"> 290</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_ENABLE 0</span></div>
<div class="line"><a name="l00291"></a><span class="lineno"> 291</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00292"></a><span class="lineno"> 292</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00293"></a><span class="lineno"> 293</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_DIV_VAL 1</span></div>
<div class="line"><a name="l00294"></a><span class="lineno"> 294</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_DIVSEL 0</span></div>
<div class="line"><a name="l00295"></a><span class="lineno"> 295</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00296"></a><span class="lineno"> 296</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00297"></a><span class="lineno"> 297</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_IDC 0</span></div>
<div class="line"><a name="l00298"></a><span class="lineno"> 298</span>&#160; </div>
<div class="line"><a name="l00299"></a><span class="lineno"> 299</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_ENABLE 1</span></div>
<div class="line"><a name="l00300"></a><span class="lineno"> 300</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00301"></a><span class="lineno"> 301</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC32K</span></div>
<div class="line"><a name="l00302"></a><span class="lineno"> 302</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_DIV_VAL 1</span></div>
<div class="line"><a name="l00303"></a><span class="lineno"> 303</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_DIVSEL 0</span></div>
<div class="line"><a name="l00304"></a><span class="lineno"> 304</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00305"></a><span class="lineno"> 305</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00306"></a><span class="lineno"> 306</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_IDC 0</span></div>
<div class="line"><a name="l00024"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf"> 24</a></span>&#160;<span class="preprocessor">#define CONF_CORE_MCLK_NVM_WAIT_STATE 0x5</span></div>
<div class="line"><a name="l00025"></a><span class="lineno"> 25</span>&#160; </div>
<div class="line"><a name="l00038"></a><span class="lineno"><a class="line" href="conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529"> 38</a></span>&#160;<span class="preprocessor">#define CONF_CORE_MCLK_CPUDIV 0x1</span></div>
<div class="line"><a name="l00039"></a><span class="lineno"> 39</span>&#160; </div>
<div class="line"><a name="l00048"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a16a34b830edda5b571c41a0f865f7051"> 48</a></span>&#160;<span class="preprocessor">#define CONF_CORE_DMA_ENABLE (0)</span></div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160; </div>
<div class="line"><a name="l00055"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405"> 55</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CMCC_ENABLE (0)</span></div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160; </div>
<div class="line"><a name="l00058"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a4e846249cdbec567989afa93b6653671"> 58</a></span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_0_ENABLE (0)</span></div>
<div class="line"><a name="l00059"></a><span class="lineno"> 59</span>&#160; </div>
<div class="line"><a name="l00060"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ab1c71bf1f1249ce9046aca27329ae588"> 60</a></span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_1_ENABLE (0)</span></div>
<div class="line"><a name="l00061"></a><span class="lineno"> 61</span>&#160; </div>
<div class="line"><a name="l00062"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a7971c1d952e35ecc50ae18de2b2c48ed"> 62</a></span>&#160;<span class="preprocessor">#define CONF_CORE_PORT_EVCTRL_2_ENABLE (0)</span></div>
<div class="line"><a name="l00063"></a><span class="lineno"> 63</span>&#160; </div>
<div class="line"><a name="l00076"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3"> 76</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ENABLE (1)</span></div>
<div class="line"><a name="l00077"></a><span class="lineno"> 77</span>&#160; </div>
<div class="line"><a name="l00084"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910"> 84</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_XTALEN (1)</span></div>
<div class="line"><a name="l00085"></a><span class="lineno"> 85</span>&#160; </div>
<div class="line"><a name="l00094"></a><span class="lineno"><a class="line" href="conf__core_8h.html#afac0686157854cc021424410ded071f1"> 94</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00095"></a><span class="lineno"> 95</span>&#160; </div>
<div class="line"><a name="l00103"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c"> 103</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ONDEMAND (0)</span></div>
<div class="line"><a name="l00104"></a><span class="lineno"> 104</span>&#160; </div>
<div class="line"><a name="l00112"></a><span class="lineno"><a class="line" href="conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b"> 112</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_LOWBUFGAIN (0)</span></div>
<div class="line"><a name="l00113"></a><span class="lineno"> 113</span>&#160; </div>
<div class="line"><a name="l00120"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e"> 120</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_ENALC (1)</span></div>
<div class="line"><a name="l00121"></a><span class="lineno"> 121</span>&#160; </div>
<div class="line"><a name="l00128"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151"> 128</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_CFDEN (1)</span></div>
<div class="line"><a name="l00129"></a><span class="lineno"> 129</span>&#160; </div>
<div class="line"><a name="l00137"></a><span class="lineno"><a class="line" href="conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a"> 137</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_SWBEN (0)</span></div>
<div class="line"><a name="l00138"></a><span class="lineno"> 138</span>&#160; </div>
<div class="line"><a name="l00159"></a><span class="lineno"><a class="line" href="conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c"> 159</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_STARTUP_TIME (0x00)</span></div>
<div class="line"><a name="l00160"></a><span class="lineno"> 160</span>&#160; </div>
<div class="line"><a name="l00165"></a><span class="lineno"><a class="line" href="conf__core_8h.html#af6ec0afa1da472db5f1def1d1923faad"> 165</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC0_FREQUENCY 12000000</span></div>
<div class="line"><a name="l00166"></a><span class="lineno"> 166</span>&#160; </div>
<div class="line"><a name="l00180"></a><span class="lineno"><a class="line" href="conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a"> 180</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ENABLE (0)</span></div>
<div class="line"><a name="l00181"></a><span class="lineno"> 181</span>&#160; </div>
<div class="line"><a name="l00188"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d"> 188</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_XTALEN (0)</span></div>
<div class="line"><a name="l00189"></a><span class="lineno"> 189</span>&#160; </div>
<div class="line"><a name="l00198"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0"> 198</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00199"></a><span class="lineno"> 199</span>&#160; </div>
<div class="line"><a name="l00207"></a><span class="lineno"><a class="line" href="conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726"> 207</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ONDEMAND (0)</span></div>
<div class="line"><a name="l00208"></a><span class="lineno"> 208</span>&#160; </div>
<div class="line"><a name="l00216"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a985ebd23986d9411d3602040e33bb405"> 216</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_LOWBUFGAIN (0)</span></div>
<div class="line"><a name="l00217"></a><span class="lineno"> 217</span>&#160; </div>
<div class="line"><a name="l00224"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62"> 224</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_ENALC (0)</span></div>
<div class="line"><a name="l00225"></a><span class="lineno"> 225</span>&#160; </div>
<div class="line"><a name="l00232"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a924214b0f469bde71ff28fe5544466db"> 232</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_CFDEN (0)</span></div>
<div class="line"><a name="l00233"></a><span class="lineno"> 233</span>&#160; </div>
<div class="line"><a name="l00241"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0"> 241</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_SWBEN (0)</span></div>
<div class="line"><a name="l00242"></a><span class="lineno"> 242</span>&#160; </div>
<div class="line"><a name="l00263"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ae8d2fdac3b52964174863149986db625"> 263</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_STARTUP_TIME (0x00)</span></div>
<div class="line"><a name="l00264"></a><span class="lineno"> 264</span>&#160; </div>
<div class="line"><a name="l00269"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a3fc3ea49b9d78438e5a8c19a22849469"> 269</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC1_FREQUENCY 12000000</span></div>
<div class="line"><a name="l00270"></a><span class="lineno"> 270</span>&#160; </div>
<div class="line"><a name="l00284"></a><span class="lineno"><a class="line" href="conf__core_8h.html#accf341cf268c0883a3d862b98667bc9c"> 284</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_ENABLE (1)</span></div>
<div class="line"><a name="l00285"></a><span class="lineno"> 285</span>&#160; </div>
<div class="line"><a name="l00293"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a031a77340c697036538d218724837de1"> 293</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CGM (0x01)</span></div>
<div class="line"><a name="l00294"></a><span class="lineno"> 294</span>&#160; </div>
<div class="line"><a name="l00306"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d"> 306</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_STARTUP_TIME CONF_CORE_CLK_XOSC32KCTRL_STARTUP_62592us</span></div>
<div class="line"><a name="l00307"></a><span class="lineno"> 307</span>&#160; </div>
<div class="line"><a name="l00308"></a><span class="lineno"> 308</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_ENABLE 0</span></div>
<div class="line"><a name="l00309"></a><span class="lineno"> 309</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00310"></a><span class="lineno"> 310</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00311"></a><span class="lineno"> 311</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_DIV_VAL 1</span></div>
<div class="line"><a name="l00312"></a><span class="lineno"> 312</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_DIVSEL 1</span></div>
<div class="line"><a name="l00313"></a><span class="lineno"> 313</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00314"></a><span class="lineno"> 314</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00315"></a><span class="lineno"> 315</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_IDC 0</span></div>
<div class="line"><a name="l00316"></a><span class="lineno"> 316</span>&#160; </div>
<div class="line"><a name="l00317"></a><span class="lineno"> 317</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_ENABLE 0</span></div>
<div class="line"><a name="l00318"></a><span class="lineno"> 318</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00319"></a><span class="lineno"> 319</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00320"></a><span class="lineno"> 320</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_DIV_VAL 1</span></div>
<div class="line"><a name="l00321"></a><span class="lineno"> 321</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_DIVSEL 0</span></div>
<div class="line"><a name="l00322"></a><span class="lineno"> 322</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00323"></a><span class="lineno"> 323</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00324"></a><span class="lineno"> 324</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_IDC 0</span></div>
<div class="line"><a name="l00325"></a><span class="lineno"> 325</span>&#160; </div>
<div class="line"><a name="l00326"></a><span class="lineno"> 326</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_ENABLE 0</span></div>
<div class="line"><a name="l00327"></a><span class="lineno"> 327</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00328"></a><span class="lineno"> 328</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00329"></a><span class="lineno"> 329</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_DIV_VAL 1</span></div>
<div class="line"><a name="l00330"></a><span class="lineno"> 330</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_DIVSEL 0</span></div>
<div class="line"><a name="l00331"></a><span class="lineno"> 331</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00332"></a><span class="lineno"> 332</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00333"></a><span class="lineno"> 333</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_IDC 0</span></div>
<div class="line"><a name="l00334"></a><span class="lineno"> 334</span>&#160; </div>
<div class="line"><a name="l00335"></a><span class="lineno"> 335</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_ENABLE 0</span></div>
<div class="line"><a name="l00336"></a><span class="lineno"> 336</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00337"></a><span class="lineno"> 337</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00338"></a><span class="lineno"> 338</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_DIV_VAL 1</span></div>
<div class="line"><a name="l00339"></a><span class="lineno"> 339</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_DIVSEL 0</span></div>
<div class="line"><a name="l00340"></a><span class="lineno"> 340</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00341"></a><span class="lineno"> 341</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00342"></a><span class="lineno"> 342</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_IDC 0</span></div>
<div class="line"><a name="l00343"></a><span class="lineno"> 343</span>&#160; </div>
<div class="line"><a name="l00344"></a><span class="lineno"> 344</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_ENABLE 0</span></div>
<div class="line"><a name="l00345"></a><span class="lineno"> 345</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00346"></a><span class="lineno"> 346</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00347"></a><span class="lineno"> 347</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_DIV_VAL 1</span></div>
<div class="line"><a name="l00348"></a><span class="lineno"> 348</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_DIVSEL 0</span></div>
<div class="line"><a name="l00349"></a><span class="lineno"> 349</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00350"></a><span class="lineno"> 350</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00351"></a><span class="lineno"> 351</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_IDC 0</span></div>
<div class="line"><a name="l00352"></a><span class="lineno"> 352</span>&#160; </div>
<div class="line"><a name="l00353"></a><span class="lineno"> 353</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_ENABLE 0</span></div>
<div class="line"><a name="l00354"></a><span class="lineno"> 354</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00355"></a><span class="lineno"> 355</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00356"></a><span class="lineno"> 356</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_DIV_VAL 1</span></div>
<div class="line"><a name="l00357"></a><span class="lineno"> 357</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_DIVSEL 0</span></div>
<div class="line"><a name="l00358"></a><span class="lineno"> 358</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00359"></a><span class="lineno"> 359</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00360"></a><span class="lineno"> 360</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_IDC 0</span></div>
<div class="line"><a name="l00314"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a8d70a30b50febec035af6b982daac395"> 314</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_ONDEMAND (1)</span></div>
<div class="line"><a name="l00315"></a><span class="lineno"> 315</span>&#160; </div>
<div class="line"><a name="l00322"></a><span class="lineno"><a class="line" href="conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e"> 322</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00323"></a><span class="lineno"> 323</span>&#160; </div>
<div class="line"><a name="l00329"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e"> 329</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_EN1K (0)</span></div>
<div class="line"><a name="l00330"></a><span class="lineno"> 330</span>&#160; </div>
<div class="line"><a name="l00336"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826"> 336</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_EN32K (1)</span></div>
<div class="line"><a name="l00337"></a><span class="lineno"> 337</span>&#160; </div>
<div class="line"><a name="l00344"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a"> 344</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_XTALEN (1)</span></div>
<div class="line"><a name="l00345"></a><span class="lineno"> 345</span>&#160; </div>
<div class="line"><a name="l00352"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1"> 352</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CFDPRESC (0)</span></div>
<div class="line"><a name="l00353"></a><span class="lineno"> 353</span>&#160; </div>
<div class="line"><a name="l00360"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad"> 360</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_CFDEN (0)</span></div>
<div class="line"><a name="l00361"></a><span class="lineno"> 361</span>&#160; </div>
<div class="line"><a name="l00362"></a><span class="lineno"> 362</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_ENABLE 0</span></div>
<div class="line"><a name="l00363"></a><span class="lineno"> 363</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00364"></a><span class="lineno"> 364</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00365"></a><span class="lineno"> 365</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_DIV_VAL 1</span></div>
<div class="line"><a name="l00366"></a><span class="lineno"> 366</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_DIVSEL 0</span></div>
<div class="line"><a name="l00367"></a><span class="lineno"> 367</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00368"></a><span class="lineno"> 368</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00369"></a><span class="lineno"> 369</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_IDC 0</span></div>
<div class="line"><a name="l00370"></a><span class="lineno"> 370</span>&#160; </div>
<div class="line"><a name="l00371"></a><span class="lineno"> 371</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_ENABLE 0</span></div>
<div class="line"><a name="l00372"></a><span class="lineno"> 372</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00373"></a><span class="lineno"> 373</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00374"></a><span class="lineno"> 374</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_DIV_VAL 1</span></div>
<div class="line"><a name="l00375"></a><span class="lineno"> 375</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_DIVSEL 0</span></div>
<div class="line"><a name="l00376"></a><span class="lineno"> 376</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00377"></a><span class="lineno"> 377</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00378"></a><span class="lineno"> 378</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_IDC 0</span></div>
<div class="line"><a name="l00379"></a><span class="lineno"> 379</span>&#160; </div>
<div class="line"><a name="l00380"></a><span class="lineno"> 380</span>&#160; </div>
<div class="line"><a name="l00381"></a><span class="lineno"> 381</span>&#160; </div>
<div class="line"><a name="l00382"></a><span class="lineno"> 382</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00368"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5"> 368</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_SWBACK (0)</span></div>
<div class="line"><a name="l00369"></a><span class="lineno"> 369</span>&#160; </div>
<div class="line"><a name="l00376"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda"> 376</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_XOSC32K_WRTLOCK (0)</span></div>
<div class="line"><a name="l00377"></a><span class="lineno"> 377</span>&#160; </div>
<div class="line"><a name="l00390"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842"> 390</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_ENABLE (1)</span></div>
<div class="line"><a name="l00391"></a><span class="lineno"> 391</span>&#160; </div>
<div class="line"><a name="l00398"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a55515b150245a993779a0d5f417cc828"> 398</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_ONDEMAND (0)</span></div>
<div class="line"><a name="l00399"></a><span class="lineno"> 399</span>&#160; </div>
<div class="line"><a name="l00406"></a><span class="lineno"><a class="line" href="conf__core_8h.html#ab6b320dcf67ec88f534adcbf77e2ce7b"> 406</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00407"></a><span class="lineno"> 407</span>&#160; </div>
<div class="line"><a name="l00414"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a1f088d6654bb907ff388ced455b2dbb2"> 414</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_WAITLOCK (0)</span></div>
<div class="line"><a name="l00415"></a><span class="lineno"> 415</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_BPLKC (0)</span></div>
<div class="line"><a name="l00416"></a><span class="lineno"> 416</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_QLDIS (0)</span></div>
<div class="line"><a name="l00417"></a><span class="lineno"> 417</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_CCDIS (1)</span></div>
<div class="line"><a name="l00418"></a><span class="lineno"> 418</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_USBCRM (1)</span></div>
<div class="line"><a name="l00419"></a><span class="lineno"> 419</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_LLAW (0)</span></div>
<div class="line"><a name="l00420"></a><span class="lineno"> 420</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_STABLE_FCALIB CONF_CORE_CLK_DFLLCTRL_STABLE_FCALIB_NOT_FIXED</span></div>
<div class="line"><a name="l00421"></a><span class="lineno"> 421</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_MODE 0x01</span></div>
<div class="line"><a name="l00422"></a><span class="lineno"> 422</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_DIFF_VAL 0</span></div>
<div class="line"><a name="l00423"></a><span class="lineno"> 423</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_COARSE_VAL (0x1f / 4)</span></div>
<div class="line"><a name="l00424"></a><span class="lineno"> 424</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_FINE_VAL 128</span></div>
<div class="line"><a name="l00425"></a><span class="lineno"> 425</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_CSTEP_VAL 1</span></div>
<div class="line"><a name="l00426"></a><span class="lineno"> 426</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_FSTEP_VAL 1</span></div>
<div class="line"><a name="l00427"></a><span class="lineno"> 427</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_MUL_VAL (48000000)</span></div>
<div class="line"><a name="l00428"></a><span class="lineno"> 428</span>&#160; </div>
<div class="line"><a name="l00444"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a0d8e56832f3d1f24b98173e3a3aa0046"> 444</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_GCLK_SRC 3</span></div>
<div class="line"><a name="l00445"></a><span class="lineno"> 445</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DFLL_OVERWRITE_CAL 0</span></div>
<div class="line"><a name="l00446"></a><span class="lineno"> 446</span>&#160; </div>
<div class="line"><a name="l00453"></a><span class="lineno"> 453</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_ENABLE (1)</span></div>
<div class="line"><a name="l00454"></a><span class="lineno"> 454</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_ONDEMAND (0)</span></div>
<div class="line"><a name="l00455"></a><span class="lineno"> 455</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00456"></a><span class="lineno"> 456</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LDRFRAC_VAL 0</span></div>
<div class="line"><a name="l00457"></a><span class="lineno"> 457</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LDR_VAL (119)</span></div>
<div class="line"><a name="l00458"></a><span class="lineno"> 458</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DIV_VAL (5)</span></div>
<div class="line"><a name="l00459"></a><span class="lineno"> 459</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DCOEN 0</span></div>
<div class="line"><a name="l00460"></a><span class="lineno"> 460</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_DCOFILTER CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ</span></div>
<div class="line"><a name="l00461"></a><span class="lineno"> 461</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LBYPASS 1</span></div>
<div class="line"><a name="l00462"></a><span class="lineno"> 462</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_LTIME 0</span></div>
<div class="line"><a name="l00463"></a><span class="lineno"> 463</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_WUF 0</span></div>
<div class="line"><a name="l00464"></a><span class="lineno"> 464</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_GCLK_SRC GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00465"></a><span class="lineno"> 465</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_REFCLK CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0</span></div>
<div class="line"><a name="l00466"></a><span class="lineno"> 466</span>&#160; </div>
<div class="line"><a name="l00490"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a5cd9abca6f486eaebb6ddd236960b01a"> 490</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL0_FILTER 0x0</span></div>
<div class="line"><a name="l00491"></a><span class="lineno"> 491</span>&#160; </div>
<div class="line"><a name="l00498"></a><span class="lineno"> 498</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_ENABLE (0)</span></div>
<div class="line"><a name="l00499"></a><span class="lineno"> 499</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_ONDEMAND (0)</span></div>
<div class="line"><a name="l00500"></a><span class="lineno"> 500</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_RUNSTDBY (0)</span></div>
<div class="line"><a name="l00501"></a><span class="lineno"> 501</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LDRFRAC_VAL 0</span></div>
<div class="line"><a name="l00502"></a><span class="lineno"> 502</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LDR_VAL (0)</span></div>
<div class="line"><a name="l00503"></a><span class="lineno"> 503</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DIV_VAL (0)</span></div>
<div class="line"><a name="l00504"></a><span class="lineno"> 504</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DCOEN 0</span></div>
<div class="line"><a name="l00505"></a><span class="lineno"> 505</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_DCOFILTER CONF_CORE_CLK_DPLLCTRL_DCOFILTER_3210KHZ</span></div>
<div class="line"><a name="l00506"></a><span class="lineno"> 506</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LBYPASS 0</span></div>
<div class="line"><a name="l00507"></a><span class="lineno"> 507</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_LTIME 0</span></div>
<div class="line"><a name="l00508"></a><span class="lineno"> 508</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_WUF 0</span></div>
<div class="line"><a name="l00509"></a><span class="lineno"> 509</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_GCLK_SRC GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00510"></a><span class="lineno"> 510</span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_REFCLK CONF_CORE_CLK_DPLLCTRL_REFCLK_XOSC0</span></div>
<div class="line"><a name="l00511"></a><span class="lineno"> 511</span>&#160; </div>
<div class="line"><a name="l00535"></a><span class="lineno"><a class="line" href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10"> 535</a></span>&#160;<span class="preprocessor">#define CONF_CORE_CLK_DPLL1_FILTER 0x0</span></div>
<div class="line"><a name="l00536"></a><span class="lineno"> 536</span>&#160; </div>
<div class="line"><a name="l00539"></a><span class="lineno"> 539</span>&#160;<span class="comment">// GCLK Generators Config</span></div>
<div class="line"><a name="l00540"></a><span class="lineno"> 540</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_ENABLE 1</span></div>
<div class="line"><a name="l00541"></a><span class="lineno"> 541</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_RUN_IN_STANDBY 1</span></div>
<div class="line"><a name="l00542"></a><span class="lineno"> 542</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00543"></a><span class="lineno"> 543</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_DIV_VAL 1</span></div>
<div class="line"><a name="l00544"></a><span class="lineno"> 544</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_DIVSEL 0</span></div>
<div class="line"><a name="l00545"></a><span class="lineno"> 545</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_OUTPUT_ENABLE 1</span></div>
<div class="line"><a name="l00546"></a><span class="lineno"> 546</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_OUTPUT_OFF_VALUE 1</span></div>
<div class="line"><a name="l00547"></a><span class="lineno"> 547</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_0_IDC 1</span></div>
<div class="line"><a name="l00548"></a><span class="lineno"> 548</span>&#160; </div>
<div class="line"><a name="l00549"></a><span class="lineno"> 549</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_ENABLE 0</span></div>
<div class="line"><a name="l00550"></a><span class="lineno"> 550</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00551"></a><span class="lineno"> 551</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00552"></a><span class="lineno"> 552</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_DIV_VAL 1</span></div>
<div class="line"><a name="l00553"></a><span class="lineno"> 553</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_DIVSEL 0</span></div>
<div class="line"><a name="l00554"></a><span class="lineno"> 554</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00555"></a><span class="lineno"> 555</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00556"></a><span class="lineno"> 556</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_1_IDC 0</span></div>
<div class="line"><a name="l00557"></a><span class="lineno"> 557</span>&#160; </div>
<div class="line"><a name="l00558"></a><span class="lineno"> 558</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_ENABLE 0</span></div>
<div class="line"><a name="l00559"></a><span class="lineno"> 559</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00560"></a><span class="lineno"> 560</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00561"></a><span class="lineno"> 561</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_DIV_VAL 1</span></div>
<div class="line"><a name="l00562"></a><span class="lineno"> 562</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_DIVSEL 0</span></div>
<div class="line"><a name="l00563"></a><span class="lineno"> 563</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00564"></a><span class="lineno"> 564</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00565"></a><span class="lineno"> 565</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_2_IDC 0</span></div>
<div class="line"><a name="l00566"></a><span class="lineno"> 566</span>&#160; </div>
<div class="line"><a name="l00567"></a><span class="lineno"> 567</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_ENABLE 1</span></div>
<div class="line"><a name="l00568"></a><span class="lineno"> 568</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00569"></a><span class="lineno"> 569</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC32K</span></div>
<div class="line"><a name="l00570"></a><span class="lineno"> 570</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_DIV_VAL 1</span></div>
<div class="line"><a name="l00571"></a><span class="lineno"> 571</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_DIVSEL 0</span></div>
<div class="line"><a name="l00572"></a><span class="lineno"> 572</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00573"></a><span class="lineno"> 573</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00574"></a><span class="lineno"> 574</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_3_IDC 0</span></div>
<div class="line"><a name="l00575"></a><span class="lineno"> 575</span>&#160; </div>
<div class="line"><a name="l00576"></a><span class="lineno"> 576</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_ENABLE 0</span></div>
<div class="line"><a name="l00577"></a><span class="lineno"> 577</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00578"></a><span class="lineno"> 578</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00579"></a><span class="lineno"> 579</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_DIV_VAL 1</span></div>
<div class="line"><a name="l00580"></a><span class="lineno"> 580</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_DIVSEL 1</span></div>
<div class="line"><a name="l00581"></a><span class="lineno"> 581</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00582"></a><span class="lineno"> 582</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00583"></a><span class="lineno"> 583</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_4_IDC 0</span></div>
<div class="line"><a name="l00584"></a><span class="lineno"> 584</span>&#160; </div>
<div class="line"><a name="l00585"></a><span class="lineno"> 585</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_ENABLE 0</span></div>
<div class="line"><a name="l00586"></a><span class="lineno"> 586</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00587"></a><span class="lineno"> 587</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00588"></a><span class="lineno"> 588</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_DIV_VAL 1</span></div>
<div class="line"><a name="l00589"></a><span class="lineno"> 589</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_DIVSEL 0</span></div>
<div class="line"><a name="l00590"></a><span class="lineno"> 590</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00591"></a><span class="lineno"> 591</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00592"></a><span class="lineno"> 592</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_5_IDC 0</span></div>
<div class="line"><a name="l00593"></a><span class="lineno"> 593</span>&#160; </div>
<div class="line"><a name="l00594"></a><span class="lineno"> 594</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_ENABLE 0</span></div>
<div class="line"><a name="l00595"></a><span class="lineno"> 595</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00596"></a><span class="lineno"> 596</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00597"></a><span class="lineno"> 597</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_DIV_VAL 1</span></div>
<div class="line"><a name="l00598"></a><span class="lineno"> 598</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_DIVSEL 0</span></div>
<div class="line"><a name="l00599"></a><span class="lineno"> 599</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00600"></a><span class="lineno"> 600</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00601"></a><span class="lineno"> 601</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_6_IDC 0</span></div>
<div class="line"><a name="l00602"></a><span class="lineno"> 602</span>&#160; </div>
<div class="line"><a name="l00603"></a><span class="lineno"> 603</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_ENABLE 0</span></div>
<div class="line"><a name="l00604"></a><span class="lineno"> 604</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00605"></a><span class="lineno"> 605</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00606"></a><span class="lineno"> 606</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_DIV_VAL 1</span></div>
<div class="line"><a name="l00607"></a><span class="lineno"> 607</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_DIVSEL 0</span></div>
<div class="line"><a name="l00608"></a><span class="lineno"> 608</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00609"></a><span class="lineno"> 609</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00610"></a><span class="lineno"> 610</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_7_IDC 0</span></div>
<div class="line"><a name="l00611"></a><span class="lineno"> 611</span>&#160; </div>
<div class="line"><a name="l00612"></a><span class="lineno"> 612</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_ENABLE 0</span></div>
<div class="line"><a name="l00613"></a><span class="lineno"> 613</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00614"></a><span class="lineno"> 614</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00615"></a><span class="lineno"> 615</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_DIV_VAL 1</span></div>
<div class="line"><a name="l00616"></a><span class="lineno"> 616</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_DIVSEL 0</span></div>
<div class="line"><a name="l00617"></a><span class="lineno"> 617</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00618"></a><span class="lineno"> 618</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00619"></a><span class="lineno"> 619</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_8_IDC 0</span></div>
<div class="line"><a name="l00620"></a><span class="lineno"> 620</span>&#160; </div>
<div class="line"><a name="l00621"></a><span class="lineno"> 621</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_ENABLE 0</span></div>
<div class="line"><a name="l00622"></a><span class="lineno"> 622</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00623"></a><span class="lineno"> 623</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00624"></a><span class="lineno"> 624</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_DIV_VAL 1</span></div>
<div class="line"><a name="l00625"></a><span class="lineno"> 625</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_DIVSEL 0</span></div>
<div class="line"><a name="l00626"></a><span class="lineno"> 626</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00627"></a><span class="lineno"> 627</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00628"></a><span class="lineno"> 628</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_9_IDC 0</span></div>
<div class="line"><a name="l00629"></a><span class="lineno"> 629</span>&#160; </div>
<div class="line"><a name="l00630"></a><span class="lineno"> 630</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_ENABLE 0</span></div>
<div class="line"><a name="l00631"></a><span class="lineno"> 631</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00632"></a><span class="lineno"> 632</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00633"></a><span class="lineno"> 633</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_DIV_VAL 1</span></div>
<div class="line"><a name="l00634"></a><span class="lineno"> 634</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_DIVSEL 0</span></div>
<div class="line"><a name="l00635"></a><span class="lineno"> 635</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00636"></a><span class="lineno"> 636</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00637"></a><span class="lineno"> 637</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_10_IDC 0</span></div>
<div class="line"><a name="l00638"></a><span class="lineno"> 638</span>&#160; </div>
<div class="line"><a name="l00639"></a><span class="lineno"> 639</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_ENABLE 0</span></div>
<div class="line"><a name="l00640"></a><span class="lineno"> 640</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_RUN_IN_STANDBY 0</span></div>
<div class="line"><a name="l00641"></a><span class="lineno"> 641</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_CLOCK_SOURCE GCLK_GENCTRL_SRC_XOSC0</span></div>
<div class="line"><a name="l00642"></a><span class="lineno"> 642</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_DIV_VAL 1</span></div>
<div class="line"><a name="l00643"></a><span class="lineno"> 643</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_DIVSEL 0</span></div>
<div class="line"><a name="l00644"></a><span class="lineno"> 644</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_OUTPUT_ENABLE 0</span></div>
<div class="line"><a name="l00645"></a><span class="lineno"> 645</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_OUTPUT_OFF_VALUE 0</span></div>
<div class="line"><a name="l00646"></a><span class="lineno"> 646</span>&#160;<span class="preprocessor">#define CONF_CORE_GCLK_11_IDC 0</span></div>
<div class="line"><a name="l00647"></a><span class="lineno"> 647</span>&#160; </div>
<div class="line"><a name="l00648"></a><span class="lineno"> 648</span>&#160; </div>
<div class="line"><a name="l00649"></a><span class="lineno"> 649</span>&#160; </div>
<div class="line"><a name="l00650"></a><span class="lineno"> 650</span>&#160;<span class="preprocessor">#endif</span></div>
</div><!-- fragment --></div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>

@ -2693,10 +2693,10 @@ $(function() {
: <a class="el" href="can_8h.html#aaab04fd1d52c69c14da84e4ce24fdac1">can.h</a>
</li>
<li>CCL
: <a class="el" href="same54n19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n20a.h</a>
: <a class="el" href="same54n20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n20a.h</a>
, <a class="el" href="same54p20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n19a.h</a>
</li>
<li>CCL_CTRL_ENABLE_Pos
: <a class="el" href="component_2ccl_8h.html#ab7d01a15f8a8b66256c97685bf99fbdb">ccl.h</a>
@ -2717,16 +2717,16 @@ $(function() {
: <a class="el" href="component_2ccl_8h.html#ad7e603a55fe052e0c0a8cc5089e3d268">ccl.h</a>
</li>
<li>CCL_INST_NUM
: <a class="el" href="same54n19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p20a.h</a>
: <a class="el" href="same54p20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n19a.h</a>
</li>
<li>CCL_INSTS
: <a class="el" href="same54p20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n19a.h</a>
: <a class="el" href="same54n20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n20a.h</a>
, <a class="el" href="same54p20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n19a.h</a>
</li>
<li>CCL_LUTCTRL_EDGESEL_Pos
: <a class="el" href="component_2ccl_8h.html#ab20c6c3c219abf3c1f952d47e94c7cae">ccl.h</a>
@ -2894,16 +2894,16 @@ $(function() {
: <a class="el" href="component_2ccl_8h.html#a190ccdf8b60ec2ab4a231060bcafa23d">ccl.h</a>
</li>
<li>CMCC
: <a class="el" href="same54n20a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54p20a.h</a>
: <a class="el" href="same54p19a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#af68d6c12c7cc42263ca0716ab41e0b9c">same54n20a.h</a>
</li>
<li>CMCC_AHB
: <a class="el" href="same54n20a_8h.html#acd17c9b305224e21918249647d61133e">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#acd17c9b305224e21918249647d61133e">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#acd17c9b305224e21918249647d61133e">same54p20a.h</a>
: <a class="el" href="same54p20a_8h.html#acd17c9b305224e21918249647d61133e">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#acd17c9b305224e21918249647d61133e">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#acd17c9b305224e21918249647d61133e">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#acd17c9b305224e21918249647d61133e">same54n20a.h</a>
</li>
<li>CMCC_CFG_CSIZESW_CONF_CSIZE_16KB_Val
: <a class="el" href="component_2cmcc_8h.html#a4e3a8c639977ce43caab50557ba7c87d">cmcc.h</a>
@ -2957,10 +2957,10 @@ $(function() {
: <a class="el" href="component_2cmcc_8h.html#a23e8a4d94f9cffe75f8091024550a7bf">cmcc.h</a>
</li>
<li>CMCC_DATARAM_ADDR
: <a class="el" href="same54p19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p20a.h</a>
: <a class="el" href="same54n19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p19a.h</a>
</li>
<li>CMCC_DATARAM_SIZE
: <a class="el" href="same54n19a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n19a.h</a>
@ -2969,15 +2969,15 @@ $(function() {
, <a class="el" href="same54n20a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n20a.h</a>
</li>
<li>CMCC_INST_NUM
: <a class="el" href="same54p20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p20a.h</a>
: <a class="el" href="same54n19a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n19a.h</a>
</li>
<li>CMCC_INSTS
: <a class="el" href="same54p19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p19a.h</a>
: <a class="el" href="same54n19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n20a.h</a>
</li>
<li>CMCC_LCKWAY_LCKWAY_Pos
@ -3102,14 +3102,14 @@ $(function() {
</li>
<li>CMCC_TAGRAM_ADDR
: <a class="el" href="same54p19a_8h.html#afef03c63b74f524f76f3778a16120481">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#afef03c63b74f524f76f3778a16120481">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#afef03c63b74f524f76f3778a16120481">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#afef03c63b74f524f76f3778a16120481">same54n20a.h</a>
, <a class="el" href="same54p20a_8h.html#afef03c63b74f524f76f3778a16120481">same54p20a.h</a>
</li>
<li>CMCC_TAGRAM_SIZE
: <a class="el" href="same54p19a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54n20a.h</a>
</li>
<li>CMCC_TYPE_CLSIZE_CLSIZE_128B_Val
@ -3189,15 +3189,15 @@ $(function() {
</li>
<li>CMCC_VALIDRAM_ADDR
: <a class="el" href="same54p20a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n19a.h</a>
</li>
<li>CMCC_VALIDRAM_SIZE
: <a class="el" href="same54n20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n20a.h</a>
, <a class="el" href="same54p20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p19a.h</a>
: <a class="el" href="same54p19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p20a.h</a>
</li>
<li>CONF_CORE_CLK_DFLL_ENABLE
: <a class="el" href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842">conf_core.h</a>
@ -3220,6 +3220,102 @@ $(function() {
<li>CONF_CORE_CLK_DPLL1_FILTER
: <a class="el" href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_CFDEN
: <a class="el" href="conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ENABLE
: <a class="el" href="conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ENALC
: <a class="el" href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_FREQUENCY
: <a class="el" href="conf__core_8h.html#af6ec0afa1da472db5f1def1d1923faad">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_LOWBUFGAIN
: <a class="el" href="conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ONDEMAND
: <a class="el" href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_RUNSTDBY
: <a class="el" href="conf__core_8h.html#afac0686157854cc021424410ded071f1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_SWBEN
: <a class="el" href="conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_XTALEN
: <a class="el" href="conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_CFDEN
: <a class="el" href="conf__core_8h.html#a924214b0f469bde71ff28fe5544466db">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ENABLE
: <a class="el" href="conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ENALC
: <a class="el" href="conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_FREQUENCY
: <a class="el" href="conf__core_8h.html#a3fc3ea49b9d78438e5a8c19a22849469">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_LOWBUFGAIN
: <a class="el" href="conf__core_8h.html#a985ebd23986d9411d3602040e33bb405">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ONDEMAND
: <a class="el" href="conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_RUNSTDBY
: <a class="el" href="conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#ae8d2fdac3b52964174863149986db625">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_SWBEN
: <a class="el" href="conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_XTALEN
: <a class="el" href="conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CFDEN
: <a class="el" href="conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CFDPRESC
: <a class="el" href="conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CGM
: <a class="el" href="conf__core_8h.html#a031a77340c697036538d218724837de1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_EN1K
: <a class="el" href="conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_EN32K
: <a class="el" href="conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_ENABLE
: <a class="el" href="conf__core_8h.html#accf341cf268c0883a3d862b98667bc9c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_ONDEMAND
: <a class="el" href="conf__core_8h.html#a8d70a30b50febec035af6b982daac395">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_RUNSTDBY
: <a class="el" href="conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_SWBACK
: <a class="el" href="conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_WRTLOCK
: <a class="el" href="conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_XTALEN
: <a class="el" href="conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a">conf_core.h</a>
</li>
<li>CONF_CORE_CMCC_ENABLE
: <a class="el" href="conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405">conf_core.h</a>
</li>
@ -3232,6 +3328,15 @@ $(function() {
<li>CONF_CORE_MCLK_NVM_WAIT_STATE
: <a class="el" href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_0_ENABLE
: <a class="el" href="conf__core_8h.html#a4e846249cdbec567989afa93b6653671">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_1_ENABLE
: <a class="el" href="conf__core_8h.html#ab1c71bf1f1249ce9046aca27329ae588">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_2_ENABLE
: <a class="el" href="conf__core_8h.html#a7971c1d952e35ecc50ae18de2b2c48ed">conf_core.h</a>
</li>
</ul>
</div><!-- contents -->
<!-- start footer part -->

@ -2681,10 +2681,10 @@ $(function() {
: <a class="el" href="can_8h.html#aaab04fd1d52c69c14da84e4ce24fdac1">can.h</a>
</li>
<li>CCL
: <a class="el" href="same54n19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n19a.h</a>
: <a class="el" href="same54n20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#a97cc076f2f5a6f58fb8e221f440cc699">same54n20a.h</a>
</li>
<li>CCL_CTRL_ENABLE_Pos
: <a class="el" href="component_2ccl_8h.html#ab7d01a15f8a8b66256c97685bf99fbdb">ccl.h</a>
@ -2705,16 +2705,16 @@ $(function() {
: <a class="el" href="component_2ccl_8h.html#ad7e603a55fe052e0c0a8cc5089e3d268">ccl.h</a>
</li>
<li>CCL_INST_NUM
: <a class="el" href="same54n19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n20a.h</a>
: <a class="el" href="same54n20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#aecb5ddd2712e1386e4f7052615ddf4aa">same54n19a.h</a>
</li>
<li>CCL_INSTS
: <a class="el" href="same54p19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p20a.h</a>
: <a class="el" href="same54p20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#ab8fb16d09c7b2e66c57f70a34ee90a8f">same54n19a.h</a>
</li>
<li>CCL_LUTCTRL_EDGESEL_Pos
: <a class="el" href="component_2ccl_8h.html#ab20c6c3c219abf3c1f952d47e94c7cae">ccl.h</a>
@ -2945,28 +2945,28 @@ $(function() {
: <a class="el" href="component_2cmcc_8h.html#a23e8a4d94f9cffe75f8091024550a7bf">cmcc.h</a>
</li>
<li>CMCC_DATARAM_ADDR
: <a class="el" href="same54p19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p20a.h</a>
: <a class="el" href="same54p20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#ab2557b0f4e6472677c3a905b61c5461c">same54n20a.h</a>
</li>
<li>CMCC_DATARAM_SIZE
: <a class="el" href="same54p19a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n20a.h</a>
: <a class="el" href="same54n19a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#a1c4ba597b0b697e59b03c6c518b14f1a">same54n20a.h</a>
</li>
<li>CMCC_INST_NUM
: <a class="el" href="same54p20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p20a.h</a>
: <a class="el" href="same54n20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n20a.h</a>
, <a class="el" href="same54p20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#ac2ae29936d5d738ad2d7f9d8675cf5e1">same54n19a.h</a>
</li>
<li>CMCC_INSTS
: <a class="el" href="same54p20a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n19a.h</a>
: <a class="el" href="same54p19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#aa98cb2974a20471b5c9433bbc10ae183">same54n19a.h</a>
</li>
<li>CMCC_LCKWAY_LCKWAY_Pos
: <a class="el" href="component_2cmcc_8h.html#a896b91ca6fa1d2fc6237a8577157654a">cmcc.h</a>
@ -3089,10 +3089,10 @@ $(function() {
: <a class="el" href="component_2cmcc_8h.html#a74d11b4f9aea7a95863ee76b1b320644">cmcc.h</a>
</li>
<li>CMCC_TAGRAM_ADDR
: <a class="el" href="same54n19a_8h.html#afef03c63b74f524f76f3778a16120481">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#afef03c63b74f524f76f3778a16120481">same54n20a.h</a>
: <a class="el" href="same54p19a_8h.html#afef03c63b74f524f76f3778a16120481">same54p19a.h</a>
, <a class="el" href="same54p20a_8h.html#afef03c63b74f524f76f3778a16120481">same54p20a.h</a>
, <a class="el" href="same54p19a_8h.html#afef03c63b74f524f76f3778a16120481">same54p19a.h</a>
, <a class="el" href="same54n20a_8h.html#afef03c63b74f524f76f3778a16120481">same54n20a.h</a>
, <a class="el" href="same54n19a_8h.html#afef03c63b74f524f76f3778a16120481">same54n19a.h</a>
</li>
<li>CMCC_TAGRAM_SIZE
: <a class="el" href="same54n20a_8h.html#abfc17a8c4bad997b27d53d6a61bd93b9">same54n20a.h</a>
@ -3177,15 +3177,15 @@ $(function() {
</li>
<li>CMCC_VALIDRAM_ADDR
: <a class="el" href="same54p20a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54p20a.h</a>
, <a class="el" href="same54n19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n19a.h</a>
, <a class="el" href="same54p19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n19a.h</a>
, <a class="el" href="same54n20a_8h.html#aef97ab61968f56c6b8f19c44dc706f2d">same54n20a.h</a>
</li>
<li>CMCC_VALIDRAM_SIZE
: <a class="el" href="same54n19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n19a.h</a>
: <a class="el" href="same54n20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n20a.h</a>
, <a class="el" href="same54p19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p19a.h</a>
, <a class="el" href="same54n19a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n19a.h</a>
, <a class="el" href="same54p20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54p20a.h</a>
, <a class="el" href="same54n20a_8h.html#a767a65126e4ad0ba3e5a62d8ae140a3a">same54n20a.h</a>
</li>
<li>CONF_CORE_CLK_DFLL_ENABLE
: <a class="el" href="conf__core_8h.html#a03160c4c7871685bbde0e96f02825842">conf_core.h</a>
@ -3208,6 +3208,102 @@ $(function() {
<li>CONF_CORE_CLK_DPLL1_FILTER
: <a class="el" href="conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_CFDEN
: <a class="el" href="conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ENABLE
: <a class="el" href="conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ENALC
: <a class="el" href="conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_FREQUENCY
: <a class="el" href="conf__core_8h.html#af6ec0afa1da472db5f1def1d1923faad">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_LOWBUFGAIN
: <a class="el" href="conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_ONDEMAND
: <a class="el" href="conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_RUNSTDBY
: <a class="el" href="conf__core_8h.html#afac0686157854cc021424410ded071f1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_SWBEN
: <a class="el" href="conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC0_XTALEN
: <a class="el" href="conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_CFDEN
: <a class="el" href="conf__core_8h.html#a924214b0f469bde71ff28fe5544466db">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ENABLE
: <a class="el" href="conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ENALC
: <a class="el" href="conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_FREQUENCY
: <a class="el" href="conf__core_8h.html#a3fc3ea49b9d78438e5a8c19a22849469">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_LOWBUFGAIN
: <a class="el" href="conf__core_8h.html#a985ebd23986d9411d3602040e33bb405">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_ONDEMAND
: <a class="el" href="conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_RUNSTDBY
: <a class="el" href="conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#ae8d2fdac3b52964174863149986db625">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_SWBEN
: <a class="el" href="conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC1_XTALEN
: <a class="el" href="conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CFDEN
: <a class="el" href="conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CFDPRESC
: <a class="el" href="conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_CGM
: <a class="el" href="conf__core_8h.html#a031a77340c697036538d218724837de1">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_EN1K
: <a class="el" href="conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_EN32K
: <a class="el" href="conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_ENABLE
: <a class="el" href="conf__core_8h.html#accf341cf268c0883a3d862b98667bc9c">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_ONDEMAND
: <a class="el" href="conf__core_8h.html#a8d70a30b50febec035af6b982daac395">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_RUNSTDBY
: <a class="el" href="conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_STARTUP_TIME
: <a class="el" href="conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_SWBACK
: <a class="el" href="conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_WRTLOCK
: <a class="el" href="conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda">conf_core.h</a>
</li>
<li>CONF_CORE_CLK_XOSC32K_XTALEN
: <a class="el" href="conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a">conf_core.h</a>
</li>
<li>CONF_CORE_CMCC_ENABLE
: <a class="el" href="conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405">conf_core.h</a>
</li>
@ -3220,6 +3316,15 @@ $(function() {
<li>CONF_CORE_MCLK_NVM_WAIT_STATE
: <a class="el" href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_0_ENABLE
: <a class="el" href="conf__core_8h.html#a4e846249cdbec567989afa93b6653671">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_1_ENABLE
: <a class="el" href="conf__core_8h.html#ab1c71bf1f1249ce9046aca27329ae588">conf_core.h</a>
</li>
<li>CONF_CORE_PORT_EVCTRL_2_ENABLE
: <a class="el" href="conf__core_8h.html#a7971c1d952e35ecc50ae18de2b2c48ed">conf_core.h</a>
</li>
</ul>
</div><!-- contents -->
<!-- start footer part -->

@ -96,7 +96,7 @@ $(function() {
<div class="line"><a name="l00025"></a><span class="lineno"> 25</span>&#160;}</div>
<div class="line"><a name="l00026"></a><span class="lineno"> 26</span>&#160; </div>
</div><!-- fragment --></div><!-- contents -->
<div class="ttc" id="aconf__core_8h_html_ab46aa120e454ecf957efa4bd97be5bdf"><div class="ttname"><a href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf">CONF_CORE_MCLK_NVM_WAIT_STATE</a></div><div class="ttdeci">#define CONF_CORE_MCLK_NVM_WAIT_STATE</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00023">conf_core.h:23</a></div></div>
<div class="ttc" id="aconf__core_8h_html_ab46aa120e454ecf957efa4bd97be5bdf"><div class="ttname"><a href="conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf">CONF_CORE_MCLK_NVM_WAIT_STATE</a></div><div class="ttdeci">#define CONF_CORE_MCLK_NVM_WAIT_STATE</div><div class="ttdoc">Define the number of wait states for the master clock. Can be [0-15]. See Datasheet Reference</div><div class="ttdef"><b>Definition:</b> <a href="conf__core_8h_source.html#l00024">conf_core.h:24</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_ad18608913d3d6e423f3a79d84348910a"><div class="ttname"><a href="same54n19a_8h.html#ad18608913d3d6e423f3a79d84348910a">NVMCTRL</a></div><div class="ttdeci">#define NVMCTRL</div><div class="ttdoc">(NVMCTRL) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00918">same54n19a.h:918</a></div></div>
<div class="ttc" id="asame54n19a_8h_html_a625e6fdb4c2120fc163e1b04178af3dd"><div class="ttname"><a href="same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd">MCLK</a></div><div class="ttdeci">#define MCLK</div><div class="ttdoc">(MCLK) APB Base Address</div><div class="ttdef"><b>Definition:</b> <a href="same54n19a_8h_source.html#l00914">same54n19a.h:914</a></div></div>
<div class="ttc" id="aconf__core_8h_html"><div class="ttname"><a href="conf__core_8h.html">conf_core.h</a></div></div>

@ -1,336 +1,336 @@
var searchData=
[
['ocdbpgen_5974',['OCDBPGEN',['../structPicop.html#a08ed926ced309198a3a93d7f3b453057',1,'Picop']]],
['ocdccnt_5975',['OCDCCNT',['../structPicop.html#a789d563e3224a77fc0d88fb2e9ae1297',1,'Picop']]],
['ocdcof_5976',['OCDCOF',['../unionPICOP__CMD__Type.html#a52e2f49ee4d212e398aea0bb234e807c',1,'PICOP_CMD_Type']]],
['ocdconfig_5977',['OCDCONFIG',['../structPicop.html#aa9627e4e25ed455d29dae5dc85a00a06',1,'Picop']]],
['ocdcontrol_5978',['OCDCONTROL',['../structPicop.html#a56cb6802962d13ef3bf0925d7c51f660',1,'Picop']]],
['ocden_5979',['OCDEN',['../unionPICOP__OCDCONTROL__Type.html#a42cd106ffce322737a0c69fcd509aff6',1,'PICOP_OCDCONTROL_Type']]],
['ocdfeat_5980',['OCDFEAT',['../structPicop.html#a34ed43adaf51dec7019c49074b6d326c',1,'Picop']]],
['ocdpc_5981',['OCDPC',['../structPicop.html#a5545b15e0290af0e2cbbdfd583e3e424',1,'Picop']]],
['ocdstatus_5982',['OCDSTATUS',['../structPicop.html#a90528bf0937031f6d3afc46fae2cf787',1,'Picop']]],
['oe_5983',['OE',['../unionGCLK__GENCTRL__Type.html#a6fd55e0d3633d0f587579b80c3a64931',1,'GCLK_GENCTRL_Type']]],
['offcomp_5984',['OFFCOMP',['../unionADC__SAMPCTRL__Type.html#a0135a49f9a6b340538472525ee78f1b6',1,'ADC_SAMPCTRL_Type']]],
['offsetcorr_5985',['OFFSETCORR',['../unionADC__OFFSETCORR__Type.html#ae0c4140ae9e600491f10a16054b634a3',1,'ADC_OFFSETCORR_Type::OFFSETCORR()'],['../unionADC__SYNCBUSY__Type.html#a8d211de503be2bfd877206e023c5b0c8',1,'ADC_SYNCBUSY_Type::OFFSETCORR()'],['../unionADC__DSEQCTRL__Type.html#a2ff9752a4a9a20387173735575989c6f',1,'ADC_DSEQCTRL_Type::OFFSETCORR()'],['../unionADC__DSEQSTAT__Type.html#a8387e97377811580c13743b721ea8762',1,'ADC_DSEQSTAT_Type::OFFSETCORR()'],['../structAdc.html#a797f96e8f37446dace23eeafbf3cf3b5',1,'Adc::OFFSETCORR()']]],
['ofr_5986',['OFR',['../structGmac.html#a18e2f713ddaa6a7ea3d7f6e27050df60',1,'Gmac']]],
['ofrx_5987',['OFRX',['../unionGMAC__OFR__Type.html#a0d91bf27a8f3a9ae9cd422326bdf82c0',1,'GMAC_OFR_Type']]],
['ondemand_5988',['ONDEMAND',['../unionADC__CTRLA__Type.html#a2a96f922b99a63e1a3a22aa8d99f011d',1,'ADC_CTRLA_Type::ONDEMAND()'],['../unionEVSYS__CHANNEL__Type.html#a5c137c1a5e6b8e02df3eda0ef5167fb3',1,'EVSYS_CHANNEL_Type::ONDEMAND()'],['../unionOSC32KCTRL__XOSC32K__Type.html#a2a2f46d93727d7887f810396eda84286',1,'OSC32KCTRL_XOSC32K_Type::ONDEMAND()'],['../unionOSCCTRL__XOSCCTRL__Type.html#ab2d3db3bbaf2451a8262ffee12837c57',1,'OSCCTRL_XOSCCTRL_Type::ONDEMAND()'],['../unionOSCCTRL__DFLLCTRLA__Type.html#a27554c0ef44111f899436cda6223c905',1,'OSCCTRL_DFLLCTRLA_Type::ONDEMAND()'],['../unionOSCCTRL__DPLLCTRLA__Type.html#adff898038902f3c4e58646ea03d85baa',1,'OSCCTRL_DPLLCTRLA_Type::ONDEMAND()'],['../unionSUPC__VREF__Type.html#a388c89fb3bc5cf5ff24e21ad351a5add',1,'SUPC_VREF_Type::ONDEMAND()'],['../unionTC__CTRLA__Type.html#a5abeb63a973c5bf10f3cfa4743932e34',1,'TC_CTRLA_Type::ONDEMAND()']]],
['oneshot_5989',['ONESHOT',['../unionTC__CTRLBCLR__Type.html#a227a85331b3ed31175c79634af8a645c',1,'TC_CTRLBCLR_Type::ONESHOT()'],['../unionTC__CTRLBSET__Type.html#afa8b0846594a1631caa23eea99e9ad58',1,'TC_CTRLBSET_Type::ONESHOT()'],['../unionTCC__CTRLBCLR__Type.html#a7cd8146b9068c7f52f27b5a000b5a567',1,'TCC_CTRLBCLR_Type::ONESHOT()'],['../unionTCC__CTRLBSET__Type.html#a0886f8eb7f0f15b6cad5b8805211eed5',1,'TCC_CTRLBSET_Type::ONESHOT()']]],
['oov_5990',['OOV',['../unionGCLK__GENCTRL__Type.html#a5abb899024db2aeb89d5b61b68e9f925',1,'GCLK_GENCTRL_Type']]],
['op_5991',['OP',['../unionGMAC__MAN__Type.html#ab9781c844163edae561c3092cdf21618',1,'GMAC_MAN_Type']]],
['opd_5992',['OPD',['../unionSDHC__MC1R__Type.html#a506ffa6be5fefc9388620a359f5b5111',1,'SDHC_MC1R_Type']]],
['opmode2_5993',['OPMODE2',['../unionUSB__DEVICE__CTRLB__Type.html#aae231fb27c6f51f43a96acda2b963e84',1,'USB_DEVICE_CTRLB_Type']]],
['optcode_5994',['OPTCODE',['../unionQSPI__INSTRCTRL__Type.html#a1a7769aa0471f5cef5a1cc7a45953783',1,'QSPI_INSTRCTRL_Type']]],
['optcodeen_5995',['OPTCODEEN',['../unionQSPI__INSTRFRAME__Type.html#a6c27c6138d6479df2cd8494513a381c0',1,'QSPI_INSTRFRAME_Type']]],
['optcodelen_5996',['OPTCODELEN',['../unionQSPI__INSTRFRAME__Type.html#a7c66c0225ebd04b6fe659b8ac437feb1',1,'QSPI_INSTRFRAME_Type']]],
['orhi_5997',['ORHI',['../structGmac.html#aaec9cae927af308d58832e327edceff2',1,'Gmac']]],
['orlo_5998',['ORLO',['../structGmac.html#a35ca6a9e4548e86d9949e7501235a6e0',1,'Gmac']]],
['osc32kctrl_5999',['Osc32kctrl',['../structOsc32kctrl.html',1,'Osc32kctrl'],['../same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p20a.h']]],
['osc32kctrl_2eh_6000',['osc32kctrl.h',['../component_2osc32kctrl_8h.html',1,'(Global Namespace)'],['../instance_2osc32kctrl_8h.html',1,'(Global Namespace)']]],
['osc32kctrl_5f_6001',['OSC32KCTRL_',['../unionMCLK__APBAMASK__Type.html#acf8299f01ce6484506ad9b8da1c8766a',1,'MCLK_APBAMASK_Type::OSC32KCTRL_()'],['../unionPAC__INTFLAGA__Type.html#a62ebbd62cd313325cc08d077904600ad',1,'PAC_INTFLAGA_Type::OSC32KCTRL_()'],['../unionPAC__STATUSA__Type.html#a3d7062cb7b01559c3ade53a550b16d9d',1,'PAC_STATUSA_Type::OSC32KCTRL_()']]],
['osc32kctrl_5fcfdctrl_5fcfden_5fpos_6002',['OSC32KCTRL_CFDCTRL_CFDEN_Pos',['../component_2osc32kctrl_8h.html#a2aedb65f916f976703240140126904f6',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fcfdpresc_5fpos_6003',['OSC32KCTRL_CFDCTRL_CFDPRESC_Pos',['../component_2osc32kctrl_8h.html#a2dc36bba505b43a70628172895e4cee3',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fmask_6004',['OSC32KCTRL_CFDCTRL_MASK',['../component_2osc32kctrl_8h.html#a3fec783d5e565f11fe77f4ae88a9876d',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5foffset_6005',['OSC32KCTRL_CFDCTRL_OFFSET',['../component_2osc32kctrl_8h.html#ab2fbb0a95bf2348b26d6eb55d9488e27',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fresetvalue_6006',['OSC32KCTRL_CFDCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#ab195093fda704bdb95893108576c6ba5',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fswback_5fpos_6007',['OSC32KCTRL_CFDCTRL_SWBACK_Pos',['../component_2osc32kctrl_8h.html#a2e8a160a709d649bf92161a98ed35068',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5ftype_6008',['OSC32KCTRL_CFDCTRL_Type',['../unionOSC32KCTRL__CFDCTRL__Type.html',1,'']]],
['osc32kctrl_5fevctrl_5fcfdeo_5fpos_6009',['OSC32KCTRL_EVCTRL_CFDEO_Pos',['../component_2osc32kctrl_8h.html#a71fb66c27d4c80fa73af5641ef5083ae',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fmask_6010',['OSC32KCTRL_EVCTRL_MASK',['../component_2osc32kctrl_8h.html#a8c5fd77028706618775ef03e5b6fd146',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5foffset_6011',['OSC32KCTRL_EVCTRL_OFFSET',['../component_2osc32kctrl_8h.html#abe8425e24e008b4160dd1756dc5c4e66',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fresetvalue_6012',['OSC32KCTRL_EVCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#aced57526fa5e5b62a8f4bebb37deea0f',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5ftype_6013',['OSC32KCTRL_EVCTRL_Type',['../unionOSC32KCTRL__EVCTRL__Type.html',1,'']]],
['osc32kctrl_5finst_5fnum_6014',['OSC32KCTRL_INST_NUM',['../same54n19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p20a.h']]],
['osc32kctrl_5finsts_6015',['OSC32KCTRL_INSTS',['../same54n19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p20a.h']]],
['osc32kctrl_5fintenclr_5fmask_6016',['OSC32KCTRL_INTENCLR_MASK',['../component_2osc32kctrl_8h.html#a753f913b2693500c8b4c878c5ed1e60a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5foffset_6017',['OSC32KCTRL_INTENCLR_OFFSET',['../component_2osc32kctrl_8h.html#a22544563390854dfa7f044a4b83abb54',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fresetvalue_6018',['OSC32KCTRL_INTENCLR_RESETVALUE',['../component_2osc32kctrl_8h.html#ad3aafd31e91ecf11f10d847aca17c178',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5ftype_6019',['OSC32KCTRL_INTENCLR_Type',['../unionOSC32KCTRL__INTENCLR__Type.html',1,'']]],
['osc32kctrl_5fintenclr_5fxosc32kfail_5fpos_6020',['OSC32KCTRL_INTENCLR_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#af25e6f5cc19d74258a0272cab04cc74e',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32krdy_5fpos_6021',['OSC32KCTRL_INTENCLR_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#ac526cada7174d6b98a29d5f0cf44ae97',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fmask_6022',['OSC32KCTRL_INTENSET_MASK',['../component_2osc32kctrl_8h.html#affc01dd3bc722708c644175127d73070',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5foffset_6023',['OSC32KCTRL_INTENSET_OFFSET',['../component_2osc32kctrl_8h.html#acd0c571999e2292d247edb6c3226bc6a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fresetvalue_6024',['OSC32KCTRL_INTENSET_RESETVALUE',['../component_2osc32kctrl_8h.html#a50b1ca7855eb0e99ad3619bb83f08d14',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5ftype_6025',['OSC32KCTRL_INTENSET_Type',['../unionOSC32KCTRL__INTENSET__Type.html',1,'']]],
['osc32kctrl_5fintenset_5fxosc32kfail_5fpos_6026',['OSC32KCTRL_INTENSET_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#a7ebf60f93ae5934bdb16757b89c65663',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32krdy_5fpos_6027',['OSC32KCTRL_INTENSET_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#af952ca2a3c0659258f67df4af0455662',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fmask_6028',['OSC32KCTRL_INTFLAG_MASK',['../component_2osc32kctrl_8h.html#a7265e7d7ad5a14c6c1c13035721290c2',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5foffset_6029',['OSC32KCTRL_INTFLAG_OFFSET',['../component_2osc32kctrl_8h.html#aec77fa56678a12082b0db8a754fa1750',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fresetvalue_6030',['OSC32KCTRL_INTFLAG_RESETVALUE',['../component_2osc32kctrl_8h.html#a29a58edeb42ff385598a3005004dddf0',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5ftype_6031',['OSC32KCTRL_INTFLAG_Type',['../unionOSC32KCTRL__INTFLAG__Type.html',1,'']]],
['osc32kctrl_5fintflag_5fxosc32kfail_5fpos_6032',['OSC32KCTRL_INTFLAG_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#ac64beded2c373e26b4afc3145c5913de',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32krdy_5fpos_6033',['OSC32KCTRL_INTFLAG_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#a85c2db168fc2fe2f24f7d01f57721a86',1,'osc32kctrl.h']]],
['osc32kctrl_5firqn_6034',['OSC32KCTRL_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p20a.h']]],
['osc32kctrl_5fosculp32k_5fcalib_5fpos_6035',['OSC32KCTRL_OSCULP32K_CALIB_Pos',['../component_2osc32kctrl_8h.html#aa2abedc94425667d08d6682c2c4f19cf',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen1k_5fpos_6036',['OSC32KCTRL_OSCULP32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#aadfe0343e0462db3b20dca24186d2681',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen32k_5fpos_6037',['OSC32KCTRL_OSCULP32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a83057d513fe89052c2f00778184eeb02',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fmask_6038',['OSC32KCTRL_OSCULP32K_MASK',['../component_2osc32kctrl_8h.html#a7b3ca0c8a3b7f6e1b9baf3c1da4cd182',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5foffset_6039',['OSC32KCTRL_OSCULP32K_OFFSET',['../component_2osc32kctrl_8h.html#aa2ae9323b7a5247081c2bfc04f97e8d1',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fresetvalue_6040',['OSC32KCTRL_OSCULP32K_RESETVALUE',['../component_2osc32kctrl_8h.html#ab745111a32543331557e25c5563a4511',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5ftype_6041',['OSC32KCTRL_OSCULP32K_Type',['../unionOSC32KCTRL__OSCULP32K__Type.html',1,'']]],
['osc32kctrl_5fosculp32k_5fwrtlock_5fpos_6042',['OSC32KCTRL_OSCULP32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#a591a0a2ca06e742e29fcac7418e0593f',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fmask_6043',['OSC32KCTRL_RTCCTRL_MASK',['../component_2osc32kctrl_8h.html#aa679222a2941c8283211ffdc4f5a7899',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5foffset_6044',['OSC32KCTRL_RTCCTRL_OFFSET',['../component_2osc32kctrl_8h.html#aa2ebbecf02c02fa55aa17bb3f0099fbf',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fresetvalue_6045',['OSC32KCTRL_RTCCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#a7d97c06430481f93acb8a0290e2dad80',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fpos_6046',['OSC32KCTRL_RTCCTRL_RTCSEL_Pos',['../component_2osc32kctrl_8h.html#adcfbd528df699f49aa94aed33cfa1324',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp1k_5fval_6047',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP1K_Val',['../component_2osc32kctrl_8h.html#abc6a6db1dfeac26e74f33b4afd4a1252',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp32k_5fval_6048',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP32K_Val',['../component_2osc32kctrl_8h.html#ae97e1489ab8d1f1a49d8e7e2ad8b7427',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc1k_5fval_6049',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC1K_Val',['../component_2osc32kctrl_8h.html#a14454b0efb7d20c1a14a46ebc86ff167',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc32k_5fval_6050',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC32K_Val',['../component_2osc32kctrl_8h.html#a3a4fae30686693a728ec790fa0bde8e6',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5ftype_6051',['OSC32KCTRL_RTCCTRL_Type',['../unionOSC32KCTRL__RTCCTRL__Type.html',1,'']]],
['osc32kctrl_5fstatus_5fmask_6052',['OSC32KCTRL_STATUS_MASK',['../component_2osc32kctrl_8h.html#a1951a838cab5c017c20ba51dcea40224',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5foffset_6053',['OSC32KCTRL_STATUS_OFFSET',['../component_2osc32kctrl_8h.html#aac54085818ba483d7ee48d673dee16a4',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fresetvalue_6054',['OSC32KCTRL_STATUS_RESETVALUE',['../component_2osc32kctrl_8h.html#a9e2afc754dc43d2728983182cadff4f1',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5ftype_6055',['OSC32KCTRL_STATUS_Type',['../unionOSC32KCTRL__STATUS__Type.html',1,'']]],
['osc32kctrl_5fstatus_5fxosc32kfail_5fpos_6056',['OSC32KCTRL_STATUS_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#aaa40c13030939e6facaf0e5e61977ceb',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32krdy_5fpos_6057',['OSC32KCTRL_STATUS_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#aa403dd126839f064ae0c834d2bd63477',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32ksw_5fpos_6058',['OSC32KCTRL_STATUS_XOSC32KSW_Pos',['../component_2osc32kctrl_8h.html#a747587aa6615db76b74bf6f40ecd6f78',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fhs_5fval_6059',['OSC32KCTRL_XOSC32K_CGM_HS_Val',['../component_2osc32kctrl_8h.html#a5861dda7b93a256f983a20230827df31',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fpos_6060',['OSC32KCTRL_XOSC32K_CGM_Pos',['../component_2osc32kctrl_8h.html#a2951861a2035901bcd3f214e894a1a5e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fxt_5fval_6061',['OSC32KCTRL_XOSC32K_CGM_XT_Val',['../component_2osc32kctrl_8h.html#a13a89e5964df1c5525050900094eda55',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen1k_5fpos_6062',['OSC32KCTRL_XOSC32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#ade7145443f1f0bbd5705dce47e2e990e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen32k_5fpos_6063',['OSC32KCTRL_XOSC32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a746636fe077be073185aec9422a5c7a6',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fenable_5fpos_6064',['OSC32KCTRL_XOSC32K_ENABLE_Pos',['../component_2osc32kctrl_8h.html#a0d7122ed419157c43c7c76da24469f6e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fmask_6065',['OSC32KCTRL_XOSC32K_MASK',['../component_2osc32kctrl_8h.html#ad0a22f67c5bcc3d0f0df3840c623f7ec',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5foffset_6066',['OSC32KCTRL_XOSC32K_OFFSET',['../component_2osc32kctrl_8h.html#a4c8965d920445c75c0c2c3ef28b2a642',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fondemand_5fpos_6067',['OSC32KCTRL_XOSC32K_ONDEMAND_Pos',['../component_2osc32kctrl_8h.html#a3f72f0a3fe37daf64ad90aa1041cc747',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fresetvalue_6068',['OSC32KCTRL_XOSC32K_RESETVALUE',['../component_2osc32kctrl_8h.html#a387f876de09ea1649ef2298d27e83c00',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5frunstdby_5fpos_6069',['OSC32KCTRL_XOSC32K_RUNSTDBY_Pos',['../component_2osc32kctrl_8h.html#aab719702395f394c3f8ab2a0862e3c62',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fstartup_5fpos_6070',['OSC32KCTRL_XOSC32K_STARTUP_Pos',['../component_2osc32kctrl_8h.html#ace3a98c58164bac82b192e5c04bbc4f8',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5ftype_6071',['OSC32KCTRL_XOSC32K_Type',['../unionOSC32KCTRL__XOSC32K__Type.html',1,'']]],
['osc32kctrl_5fxosc32k_5fwrtlock_5fpos_6072',['OSC32KCTRL_XOSC32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#aac0d0821539272a535011934da425e8b',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fxtalen_5fpos_6073',['OSC32KCTRL_XOSC32K_XTALEN_Pos',['../component_2osc32kctrl_8h.html#aab290b9fde2ce0432bd019281585e60c',1,'osc32kctrl.h']]],
['oscctrl_6074',['Oscctrl',['../structOscctrl.html',1,'Oscctrl'],['../same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p20a.h']]],
['oscctrl_2eh_6075',['oscctrl.h',['../component_2oscctrl_8h.html',1,'(Global Namespace)'],['../instance_2oscctrl_8h.html',1,'(Global Namespace)']]],
['oscctrl_5f_6076',['OSCCTRL_',['../unionMCLK__APBAMASK__Type.html#a1ea98bb1063611d628335d460de03389',1,'MCLK_APBAMASK_Type::OSCCTRL_()'],['../unionPAC__INTFLAGA__Type.html#a5b62a0a2939e792001834edc95b780f1',1,'PAC_INTFLAGA_Type::OSCCTRL_()'],['../unionPAC__STATUSA__Type.html#aca0419c15c216c75000c20dc5df2d967',1,'PAC_STATUSA_Type::OSCCTRL_()']]],
['oscctrl_5f0_5firqn_6077',['OSCCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f1_5firqn_6078',['OSCCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f2_5firqn_6079',['OSCCTRL_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f3_5firqn_6080',['OSCCTRL_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f4_5firqn_6081',['OSCCTRL_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p20a.h']]],
['oscctrl_5fdfllctrla_5fenable_5fpos_6082',['OSCCTRL_DFLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#ac52718d932dc91aced9a43bcc0a30f67',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fmask_6083',['OSCCTRL_DFLLCTRLA_MASK',['../component_2oscctrl_8h.html#a8da8bde82b88385d7a0450edc12d4788',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5foffset_6084',['OSCCTRL_DFLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a66a0e69b3ee663b2d47a635641b3f830',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fondemand_5fpos_6085',['OSCCTRL_DFLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ac55896db5f5513fffbead77d7725c7d4',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fresetvalue_6086',['OSCCTRL_DFLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a8e3996f83720641b903cbb6d9ec384ad',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5frunstdby_5fpos_6087',['OSCCTRL_DFLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#a6e18e8612332ec33be46da48d6af6326',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5ftype_6088',['OSCCTRL_DFLLCTRLA_Type',['../unionOSCCTRL__DFLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5fbplckc_5fpos_6089',['OSCCTRL_DFLLCTRLB_BPLCKC_Pos',['../component_2oscctrl_8h.html#aa51375783f841ae924335bcd1e11a9e7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fccdis_5fpos_6090',['OSCCTRL_DFLLCTRLB_CCDIS_Pos',['../component_2oscctrl_8h.html#afd696a109b6a505c8979712490300871',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fllaw_5fpos_6091',['OSCCTRL_DFLLCTRLB_LLAW_Pos',['../component_2oscctrl_8h.html#aadbf916c853f33b2bfdb3aac1f51305e',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmask_6092',['OSCCTRL_DFLLCTRLB_MASK',['../component_2oscctrl_8h.html#a8e776a7b4b70ff9cc15cee6dbc792e80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmode_5fpos_6093',['OSCCTRL_DFLLCTRLB_MODE_Pos',['../component_2oscctrl_8h.html#a81a2b2a576221303235f1662fa482dc7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5foffset_6094',['OSCCTRL_DFLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a69e718033e7a0dfb51ba54cadaca79eb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fqldis_5fpos_6095',['OSCCTRL_DFLLCTRLB_QLDIS_Pos',['../component_2oscctrl_8h.html#af137760440a258da8ff5058cd0ba8feb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fresetvalue_6096',['OSCCTRL_DFLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a3febab6fa467422e1ef45900924b8d80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fstable_5fpos_6097',['OSCCTRL_DFLLCTRLB_STABLE_Pos',['../component_2oscctrl_8h.html#ab3f3fa0d56fef05164fa2d4c782e7328',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5ftype_6098',['OSCCTRL_DFLLCTRLB_Type',['../unionOSCCTRL__DFLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5fusbcrm_5fpos_6099',['OSCCTRL_DFLLCTRLB_USBCRM_Pos',['../component_2oscctrl_8h.html#afe8c1970e53420b740d6a340f832eb78',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fwaitlock_5fpos_6100',['OSCCTRL_DFLLCTRLB_WAITLOCK_Pos',['../component_2oscctrl_8h.html#a9b1b8f771d56ce2d7b917c28a48ac724',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fcstep_5fpos_6101',['OSCCTRL_DFLLMUL_CSTEP_Pos',['../component_2oscctrl_8h.html#a0c8450b117abc6c2de82b7b1fc96297a',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ffstep_5fpos_6102',['OSCCTRL_DFLLMUL_FSTEP_Pos',['../component_2oscctrl_8h.html#a4f5fe6a1887b7f8b49c1ff906c00d3c0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmask_6103',['OSCCTRL_DFLLMUL_MASK',['../component_2oscctrl_8h.html#af806f0aed246525bd1f1244e66da2550',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmul_5fpos_6104',['OSCCTRL_DFLLMUL_MUL_Pos',['../component_2oscctrl_8h.html#af28d647c2e21f62492d2fb7dae6379c1',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5foffset_6105',['OSCCTRL_DFLLMUL_OFFSET',['../component_2oscctrl_8h.html#acbc7ecae09d7c5833c15e713518f6af0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fresetvalue_6106',['OSCCTRL_DFLLMUL_RESETVALUE',['../component_2oscctrl_8h.html#a16ffd9100a1b1e248997caaf180cc818',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ftype_6107',['OSCCTRL_DFLLMUL_Type',['../unionOSCCTRL__DFLLMUL__Type.html',1,'']]],
['oscctrl_5fdfllsync_5fdfllctrlb_5fpos_6108',['OSCCTRL_DFLLSYNC_DFLLCTRLB_Pos',['../component_2oscctrl_8h.html#af1d1777c5fbac95e3e98d57d1d2a39a9',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllmul_5fpos_6109',['OSCCTRL_DFLLSYNC_DFLLMUL_Pos',['../component_2oscctrl_8h.html#a52e6dad6dbda589bfb0cbc57afe2e3fd',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllval_5fpos_6110',['OSCCTRL_DFLLSYNC_DFLLVAL_Pos',['../component_2oscctrl_8h.html#a07da10ead25b5789ea16707e059a24e8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fenable_5fpos_6111',['OSCCTRL_DFLLSYNC_ENABLE_Pos',['../component_2oscctrl_8h.html#adff68bf675fb7f9c30aa5e96c1e478f8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fmask_6112',['OSCCTRL_DFLLSYNC_MASK',['../component_2oscctrl_8h.html#afd97d4fa228e779e98b8706cd34934c2',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5foffset_6113',['OSCCTRL_DFLLSYNC_OFFSET',['../component_2oscctrl_8h.html#ae788363f83086b565eb6735cbb2e9ea0',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fresetvalue_6114',['OSCCTRL_DFLLSYNC_RESETVALUE',['../component_2oscctrl_8h.html#ae8a44ba0fa19b83e9660609fcad38949',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5ftype_6115',['OSCCTRL_DFLLSYNC_Type',['../unionOSCCTRL__DFLLSYNC__Type.html',1,'']]],
['oscctrl_5fdfllval_5fcoarse_5fpos_6116',['OSCCTRL_DFLLVAL_COARSE_Pos',['../component_2oscctrl_8h.html#a4060e9896f8ecee10e8c47b916b0a036',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fdiff_5fpos_6117',['OSCCTRL_DFLLVAL_DIFF_Pos',['../component_2oscctrl_8h.html#a3285312e7cb0b1dc1211b6e67bf9444d',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ffine_5fpos_6118',['OSCCTRL_DFLLVAL_FINE_Pos',['../component_2oscctrl_8h.html#acbf24eb2695191929f7fe5384e93ac82',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fmask_6119',['OSCCTRL_DFLLVAL_MASK',['../component_2oscctrl_8h.html#a9f0663f26a54cc0857ad891963fd7d2e',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5foffset_6120',['OSCCTRL_DFLLVAL_OFFSET',['../component_2oscctrl_8h.html#a73984d1b76d49184781e4b3f31114b2f',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fresetvalue_6121',['OSCCTRL_DFLLVAL_RESETVALUE',['../component_2oscctrl_8h.html#a698a0db24626335bd0120330a28bdfc3',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ftype_6122',['OSCCTRL_DFLLVAL_Type',['../unionOSCCTRL__DFLLVAL__Type.html',1,'']]],
['oscctrl_5fdpllctrla_5fenable_5fpos_6123',['OSCCTRL_DPLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#a0b469beaddd68ce9ea7fca6c05e9ada5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fmask_6124',['OSCCTRL_DPLLCTRLA_MASK',['../component_2oscctrl_8h.html#ad1b1636763f7932da29230659b6f1482',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5foffset_6125',['OSCCTRL_DPLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a37a4733b04ee101902f2512b99059cd5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fondemand_5fpos_6126',['OSCCTRL_DPLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ad9e01b631ceb9a9cd32ae5bc6e0f0483',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fresetvalue_6127',['OSCCTRL_DPLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a1753de286cb86ad6e147893f3413bd61',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5frunstdby_5fpos_6128',['OSCCTRL_DPLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#afef60db26a0859cab97dfc76903c6156',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5ftype_6129',['OSCCTRL_DPLLCTRLA_Type',['../unionOSCCTRL__DPLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5fdcoen_5fpos_6130',['OSCCTRL_DPLLCTRLB_DCOEN_Pos',['../component_2oscctrl_8h.html#a2f1d7d6d5f218e9011e9e74c3073e74f',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcofilter_5fpos_6131',['OSCCTRL_DPLLCTRLB_DCOFILTER_Pos',['../component_2oscctrl_8h.html#ae9ed5ffff32ec37cf905e90bc0d6165a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdiv_5fpos_6132',['OSCCTRL_DPLLCTRLB_DIV_Pos',['../component_2oscctrl_8h.html#a4be649bdf3f99bbda9c530dbfadd27ef',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ffilter_5fpos_6133',['OSCCTRL_DPLLCTRLB_FILTER_Pos',['../component_2oscctrl_8h.html#a8df5368ebb5161543ef658da3a849a5e',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5flbypass_5fpos_6134',['OSCCTRL_DPLLCTRLB_LBYPASS_Pos',['../component_2oscctrl_8h.html#a7ea92e3e4b6ef826bbdc4b861343472d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1ms_5fval_6135',['OSCCTRL_DPLLCTRLB_LTIME_1MS_Val',['../component_2oscctrl_8h.html#acdf2a38bd236df0c88dc690bcb92f0af',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1p1ms_5fval_6136',['OSCCTRL_DPLLCTRLB_LTIME_1P1MS_Val',['../component_2oscctrl_8h.html#a042bd49e50a351e297ce6d85b74d5c97',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f800us_5fval_6137',['OSCCTRL_DPLLCTRLB_LTIME_800US_Val',['../component_2oscctrl_8h.html#a77761eb0e9f20588023d1685f2fed4c7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f900us_5fval_6138',['OSCCTRL_DPLLCTRLB_LTIME_900US_Val',['../component_2oscctrl_8h.html#ac0731b39348d76cc048e022643f81e7a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fdefault_5fval_6139',['OSCCTRL_DPLLCTRLB_LTIME_DEFAULT_Val',['../component_2oscctrl_8h.html#a8ddcaa2262fb02d4d166efb6cab6cdce',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fpos_6140',['OSCCTRL_DPLLCTRLB_LTIME_Pos',['../component_2oscctrl_8h.html#a548d60cb7bc67169f8aae58e9172405d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fmask_6141',['OSCCTRL_DPLLCTRLB_MASK',['../component_2oscctrl_8h.html#a05fc8d7b773b1d682b972d9f4101001d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5foffset_6142',['OSCCTRL_DPLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a71dc4af9ba22fbd5c6aa3d5d371d9a59',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fgclk_5fval_6143',['OSCCTRL_DPLLCTRLB_REFCLK_GCLK_Val',['../component_2oscctrl_8h.html#a38354933951893dbb52e7e27cb9f1dac',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fpos_6144',['OSCCTRL_DPLLCTRLB_REFCLK_Pos',['../component_2oscctrl_8h.html#ad0796705a34fa0f49ef3bd5384a9bcb7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc0_5fval_6145',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC0_Val',['../component_2oscctrl_8h.html#ae7a65d1ec10c7884fc767e29df247358',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc1_5fval_6146',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC1_Val',['../component_2oscctrl_8h.html#a9736f8eba0ea7cb54ca311a8066a2949',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc32_5fval_6147',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC32_Val',['../component_2oscctrl_8h.html#a4a987808375b88b41ddff5932c8f81e5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fresetvalue_6148',['OSCCTRL_DPLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a49595cc57a3da5b0553aebc31760e7ca',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ftype_6149',['OSCCTRL_DPLLCTRLB_Type',['../unionOSCCTRL__DPLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5fwuf_5fpos_6150',['OSCCTRL_DPLLCTRLB_WUF_Pos',['../component_2oscctrl_8h.html#a93ec22d41bd1fbe0745a29b207d8691b',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldr_5fpos_6151',['OSCCTRL_DPLLRATIO_LDR_Pos',['../component_2oscctrl_8h.html#a8eca3bc229c889cd3d3779dc929c643f',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldrfrac_5fpos_6152',['OSCCTRL_DPLLRATIO_LDRFRAC_Pos',['../component_2oscctrl_8h.html#aef38c55c07d00397f447987ed0bc9bcc',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fmask_6153',['OSCCTRL_DPLLRATIO_MASK',['../component_2oscctrl_8h.html#a2f63ccd4be69ce0228fea5b36f5759c8',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5foffset_6154',['OSCCTRL_DPLLRATIO_OFFSET',['../component_2oscctrl_8h.html#a60bf82218bf0deb9cc9cfcc05db4f5ee',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fresetvalue_6155',['OSCCTRL_DPLLRATIO_RESETVALUE',['../component_2oscctrl_8h.html#a0644b362777fc4bd26ff3be75521a753',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5ftype_6156',['OSCCTRL_DPLLRATIO_Type',['../unionOSCCTRL__DPLLRATIO__Type.html',1,'']]],
['oscctrl_5fdpllstatus_5fclkrdy_5fpos_6157',['OSCCTRL_DPLLSTATUS_CLKRDY_Pos',['../component_2oscctrl_8h.html#a7050091daa0c7060570b8fb41a4396c7',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5flock_5fpos_6158',['OSCCTRL_DPLLSTATUS_LOCK_Pos',['../component_2oscctrl_8h.html#a569aa091512f4853d45f9217287f49ee',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fmask_6159',['OSCCTRL_DPLLSTATUS_MASK',['../component_2oscctrl_8h.html#a9594a8635037d6f6303db8bb1f9abede',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5foffset_6160',['OSCCTRL_DPLLSTATUS_OFFSET',['../component_2oscctrl_8h.html#a7187cfcdd8e6b42e88eff7617a051506',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fresetvalue_6161',['OSCCTRL_DPLLSTATUS_RESETVALUE',['../component_2oscctrl_8h.html#a915e0da834493a69f9efaafc12a411d3',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5ftype_6162',['OSCCTRL_DPLLSTATUS_Type',['../unionOSCCTRL__DPLLSTATUS__Type.html',1,'']]],
['oscctrl_5fdpllsyncbusy_5fdpllratio_5fpos_6163',['OSCCTRL_DPLLSYNCBUSY_DPLLRATIO_Pos',['../component_2oscctrl_8h.html#ab2f0bc0ad59bccd246390ccd8886542d',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fenable_5fpos_6164',['OSCCTRL_DPLLSYNCBUSY_ENABLE_Pos',['../component_2oscctrl_8h.html#a54af50bfcb6024fc8f7bb09d075c76af',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fmask_6165',['OSCCTRL_DPLLSYNCBUSY_MASK',['../component_2oscctrl_8h.html#afbce2b9bec1e87b9116643abd7b77d6b',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5foffset_6166',['OSCCTRL_DPLLSYNCBUSY_OFFSET',['../component_2oscctrl_8h.html#a2c5a41f1265f4fa368dd76a5e906a6ff',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fresetvalue_6167',['OSCCTRL_DPLLSYNCBUSY_RESETVALUE',['../component_2oscctrl_8h.html#ad7e00f58be72dd69d9a2115fbe2d08bf',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5ftype_6168',['OSCCTRL_DPLLSYNCBUSY_Type',['../unionOSCCTRL__DPLLSYNCBUSY__Type.html',1,'']]],
['oscctrl_5fevctrl_5fcfdeo0_5fpos_6169',['OSCCTRL_EVCTRL_CFDEO0_Pos',['../component_2oscctrl_8h.html#ad86022dc131fd85aab7434a187d52d2b',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo1_5fpos_6170',['OSCCTRL_EVCTRL_CFDEO1_Pos',['../component_2oscctrl_8h.html#abfca963e158e3751e6eef579dc8e2459',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo_5fpos_6171',['OSCCTRL_EVCTRL_CFDEO_Pos',['../component_2oscctrl_8h.html#a3a810998724eb0a551b11ebad8af0c3f',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fmask_6172',['OSCCTRL_EVCTRL_MASK',['../component_2oscctrl_8h.html#ae40fb603cd76abcad4d1181cf7f806bb',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5foffset_6173',['OSCCTRL_EVCTRL_OFFSET',['../component_2oscctrl_8h.html#a996fe1676326158a46ec7ca799f6c476',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fresetvalue_6174',['OSCCTRL_EVCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a3da520e04154e8d0d4e075f4b925d07e',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5ftype_6175',['OSCCTRL_EVCTRL_Type',['../unionOSCCTRL__EVCTRL__Type.html',1,'']]],
['oscctrl_5finst_5fnum_6176',['OSCCTRL_INST_NUM',['../same54n19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p20a.h']]],
['oscctrl_5finsts_6177',['OSCCTRL_INSTS',['../same54n19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p20a.h']]],
['oscctrl_5fintenclr_5fdflllckc_5fpos_6178',['OSCCTRL_INTENCLR_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#acfd407452687ecbe98f2092c48c9cf0b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflllckf_5fpos_6179',['OSCCTRL_INTENCLR_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#aedeada806272464f02c40c311aa13580',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflloob_5fpos_6180',['OSCCTRL_INTENCLR_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a42b59a19205fb09e83323b23751da9cc',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrcs_5fpos_6181',['OSCCTRL_INTENCLR_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a6777b5190c055d4589c685a6672fe9f4',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrdy_5fpos_6182',['OSCCTRL_INTENCLR_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a34cb24a7f76328d1bb22092a9d238e1a',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckf_5fpos_6183',['OSCCTRL_INTENCLR_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#aeec58398a0b8f3a65d683f1a41754ca9',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckr_5fpos_6184',['OSCCTRL_INTENCLR_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#ac19aede6406fd2f749d3570bc7a9c84e',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0ldrto_5fpos_6185',['OSCCTRL_INTENCLR_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#afcc038fd5ff3f0410e46081f764caf71',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lto_5fpos_6186',['OSCCTRL_INTENCLR_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ac5e6c94a61400538770c5b1220372e84',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckf_5fpos_6187',['OSCCTRL_INTENCLR_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#acc1a03dc449ded12c7c04ece882f168d',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckr_5fpos_6188',['OSCCTRL_INTENCLR_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a354c3795d5d51b019d89650327cd58cd',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1ldrto_5fpos_6189',['OSCCTRL_INTENCLR_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#afb9da290c0b133fc1bf6e386b0db0ff0',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lto_5fpos_6190',['OSCCTRL_INTENCLR_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a22e5d45e06513d280860be62567ec1ce',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fmask_6191',['OSCCTRL_INTENCLR_MASK',['../component_2oscctrl_8h.html#a491d06abacebcf79ae6c8ab2ce06fc03',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5foffset_6192',['OSCCTRL_INTENCLR_OFFSET',['../component_2oscctrl_8h.html#a3f1d364493d38c935e8c304effcf6801',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fresetvalue_6193',['OSCCTRL_INTENCLR_RESETVALUE',['../component_2oscctrl_8h.html#ad54389e0eae425ccea549728bfe6bd79',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5ftype_6194',['OSCCTRL_INTENCLR_Type',['../unionOSCCTRL__INTENCLR__Type.html',1,'']]],
['oscctrl_5fintenclr_5fxoscfail0_5fpos_6195',['OSCCTRL_INTENCLR_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#ad4ac60ee270bc85644b0258cbdf21db2',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail1_5fpos_6196',['OSCCTRL_INTENCLR_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#ad11a3dbaa23fd72f3f236d263cd7b1be',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail_5fpos_6197',['OSCCTRL_INTENCLR_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a391ebec0501a1af9e44d0096e2ab1d39',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy0_5fpos_6198',['OSCCTRL_INTENCLR_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a1e83a14bcf2fd2c1318d219ae498e8da',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy1_5fpos_6199',['OSCCTRL_INTENCLR_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1c8c35169fba19f6288bd1af4ff29c4b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy_5fpos_6200',['OSCCTRL_INTENCLR_XOSCRDY_Pos',['../component_2oscctrl_8h.html#ad840e74b4b8a5bce231c57763f3d6a27',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckc_5fpos_6201',['OSCCTRL_INTENSET_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a6ad90e329e97b3b3e480ef583fdf3eb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckf_5fpos_6202',['OSCCTRL_INTENSET_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#af38520e46a7d6f00aad451bc70ce0ce9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflloob_5fpos_6203',['OSCCTRL_INTENSET_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ab911e0fe7e6ea5dc65c44ae1718d7d32',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrcs_5fpos_6204',['OSCCTRL_INTENSET_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a67b99d880e5ac94cee8f4cece41756d5',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrdy_5fpos_6205',['OSCCTRL_INTENSET_DFLLRDY_Pos',['../component_2oscctrl_8h.html#aed032ff8ad78e9e4d9e0694dfbd26c38',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckf_5fpos_6206',['OSCCTRL_INTENSET_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a0c306bc4b7b53596e9f8b28f241f1738',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckr_5fpos_6207',['OSCCTRL_INTENSET_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a25324df8b7fcade4fc325aaa3a10efba',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0ldrto_5fpos_6208',['OSCCTRL_INTENSET_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a88ff4ba5e521a293381bb6a81ee96ff9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lto_5fpos_6209',['OSCCTRL_INTENSET_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#a577dbcbbc73c82bd44799a553e761631',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckf_5fpos_6210',['OSCCTRL_INTENSET_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#ac2424b835502481d298d7b45d8d191c2',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckr_5fpos_6211',['OSCCTRL_INTENSET_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a984e977ed8becf685c4ba9e54b4a88d6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1ldrto_5fpos_6212',['OSCCTRL_INTENSET_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ea2cc759e75ba1cc146354cb04ca4da',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lto_5fpos_6213',['OSCCTRL_INTENSET_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a786f9307087e16a6a3de282cdbc8580a',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fmask_6214',['OSCCTRL_INTENSET_MASK',['../component_2oscctrl_8h.html#a58580ac326b8ea2f4f59a3f12a9baae3',1,'oscctrl.h']]],
['oscctrl_5fintenset_5foffset_6215',['OSCCTRL_INTENSET_OFFSET',['../component_2oscctrl_8h.html#ac255483d2540447cf58a2ff1c9227c4c',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fresetvalue_6216',['OSCCTRL_INTENSET_RESETVALUE',['../component_2oscctrl_8h.html#a59a8baa4ba504f85756373bebf779abd',1,'oscctrl.h']]],
['oscctrl_5fintenset_5ftype_6217',['OSCCTRL_INTENSET_Type',['../unionOSCCTRL__INTENSET__Type.html',1,'']]],
['oscctrl_5fintenset_5fxoscfail0_5fpos_6218',['OSCCTRL_INTENSET_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#adedd337090ca68da118c46ff224cd67b',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail1_5fpos_6219',['OSCCTRL_INTENSET_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a5dfbec57e3a4ddf4ff16808664dce852',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail_5fpos_6220',['OSCCTRL_INTENSET_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#ac85971e558aa827cf8a346fd73da42ce',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy0_5fpos_6221',['OSCCTRL_INTENSET_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#ac39d4f23555a607bce97a892f86b4406',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy1_5fpos_6222',['OSCCTRL_INTENSET_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1706bb7612abb1b8604b528df8d74fb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy_5fpos_6223',['OSCCTRL_INTENSET_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a51f8fe67474d300dae1f69f7877fcb21',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckc_5fpos_6224',['OSCCTRL_INTFLAG_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a2a4786711d775d7a9a3c56f1fcfaea2f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckf_5fpos_6225',['OSCCTRL_INTFLAG_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#a6fbc9c37d06550c125c08973065bba1f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflloob_5fpos_6226',['OSCCTRL_INTFLAG_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ae2b4598023dd48f296dc3ed55faa93f7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrcs_5fpos_6227',['OSCCTRL_INTFLAG_DFLLRCS_Pos',['../component_2oscctrl_8h.html#aef59605a23b051cdd044b9635be34116',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrdy_5fpos_6228',['OSCCTRL_INTFLAG_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a6d6c5edd1a31c2185011f2966f4d67e7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckf_5fpos_6229',['OSCCTRL_INTFLAG_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#ad06bbc0757c0248ee56178ab6a48c28f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckr_5fpos_6230',['OSCCTRL_INTFLAG_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a3a0cc7a56afc262dd18f4b3cd2e68ff2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0ldrto_5fpos_6231',['OSCCTRL_INTFLAG_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a4829b1e7eec271be816ef664af6af236',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lto_5fpos_6232',['OSCCTRL_INTFLAG_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ae9046f4d5ef37e7cde2ca88db111963b',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckf_5fpos_6233',['OSCCTRL_INTFLAG_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#a0d2c2110aa97643a7e19b88e4b3e904d',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckr_5fpos_6234',['OSCCTRL_INTFLAG_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a108254e167a37e6e4dfb9519bc11209e',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1ldrto_5fpos_6235',['OSCCTRL_INTFLAG_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ac997517d000d7d52c080327bbc6dba',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lto_5fpos_6236',['OSCCTRL_INTFLAG_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#aaa1a96063c00c12713e8873ecd40eb3c',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fmask_6237',['OSCCTRL_INTFLAG_MASK',['../component_2oscctrl_8h.html#aedd8a9f620939d751bf1a447933cefa1',1,'oscctrl.h']]],
['oscctrl_5fintflag_5foffset_6238',['OSCCTRL_INTFLAG_OFFSET',['../component_2oscctrl_8h.html#ad4379aa6be2f266b44248cf95b73bbc2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fresetvalue_6239',['OSCCTRL_INTFLAG_RESETVALUE',['../component_2oscctrl_8h.html#af36ade32e3a82aa932c61c67dd1051d2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5ftype_6240',['OSCCTRL_INTFLAG_Type',['../unionOSCCTRL__INTFLAG__Type.html',1,'']]],
['oscctrl_5fintflag_5fxoscfail0_5fpos_6241',['OSCCTRL_INTFLAG_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a298eee00dead4a0e52d64f9c5a1efb57',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail1_5fpos_6242',['OSCCTRL_INTFLAG_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a3814eb8fda4eac82c6f3fee253642a5a',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail_5fpos_6243',['OSCCTRL_INTFLAG_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a541a47ea56a176d322064f0a04db6fa8',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy0_5fpos_6244',['OSCCTRL_INTFLAG_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a2f5f25844f47105e86c7d844372648ec',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy1_5fpos_6245',['OSCCTRL_INTFLAG_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a64a72de75c54729a2b5629e31c6fe139',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy_5fpos_6246',['OSCCTRL_INTFLAG_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a1c522599d8b45dd46183b68afb7c4179',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckc_5fpos_6247',['OSCCTRL_STATUS_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a1afa368e7e15936f20fc585a75ae2364',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckf_5fpos_6248',['OSCCTRL_STATUS_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#ab0f92bd1bac8899184a0c9e827cb893e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflloob_5fpos_6249',['OSCCTRL_STATUS_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a609a88a7dd6e51e13db39120c06e7802',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrcs_5fpos_6250',['OSCCTRL_STATUS_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a9d70ec5c52fd967af44a913183821e50',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrdy_5fpos_6251',['OSCCTRL_STATUS_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a93a88764e52b7292e9585f31459bab2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckf_5fpos_6252',['OSCCTRL_STATUS_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a42192591909d1d068452a5d5a58fd35f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckr_5fpos_6253',['OSCCTRL_STATUS_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a2e36f4769f2b83a008449bcf77301ec1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0ldrto_5fpos_6254',['OSCCTRL_STATUS_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a171a4fad2acfea2d53ba64333841c764',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0to_5fpos_6255',['OSCCTRL_STATUS_DPLL0TO_Pos',['../component_2oscctrl_8h.html#a28629f6bf7e47edc104c8077871944b2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckf_5fpos_6256',['OSCCTRL_STATUS_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#aee59dcd46d23a4cf661080d023e23d67',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckr_5fpos_6257',['OSCCTRL_STATUS_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a2f22483696b4c4d0589a2d17dab8779f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1ldrto_5fpos_6258',['OSCCTRL_STATUS_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a69fb155bb3b94f7a315d3aa75948f3f0',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1to_5fpos_6259',['OSCCTRL_STATUS_DPLL1TO_Pos',['../component_2oscctrl_8h.html#a467800779492045ae90a78e39f45ebf3',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fmask_6260',['OSCCTRL_STATUS_MASK',['../component_2oscctrl_8h.html#a9f82ecd17b8fa59428ef3e31aea63aa6',1,'oscctrl.h']]],
['oscctrl_5fstatus_5foffset_6261',['OSCCTRL_STATUS_OFFSET',['../component_2oscctrl_8h.html#ad7dd78458893930f5f6800c743f745da',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fresetvalue_6262',['OSCCTRL_STATUS_RESETVALUE',['../component_2oscctrl_8h.html#a42375dcec180c57773050c0e0cd04b2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5ftype_6263',['OSCCTRL_STATUS_Type',['../unionOSCCTRL__STATUS__Type.html',1,'']]],
['oscctrl_5fstatus_5fxosccksw0_5fpos_6264',['OSCCTRL_STATUS_XOSCCKSW0_Pos',['../component_2oscctrl_8h.html#a31651f5f133ef03501a0c19af590af91',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw1_5fpos_6265',['OSCCTRL_STATUS_XOSCCKSW1_Pos',['../component_2oscctrl_8h.html#a4a12531e0d9bf58fe06a568f7084f4de',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw_5fpos_6266',['OSCCTRL_STATUS_XOSCCKSW_Pos',['../component_2oscctrl_8h.html#a9122c98578dbba6b79b1d4e8dc0c21f1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail0_5fpos_6267',['OSCCTRL_STATUS_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a9065ab905aa937a21f1faf221841f1af',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail1_5fpos_6268',['OSCCTRL_STATUS_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a867c59248b265b1c6945fce69ec0bde1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail_5fpos_6269',['OSCCTRL_STATUS_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a6d1cd3df10786cca948c08469baca892',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy0_5fpos_6270',['OSCCTRL_STATUS_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a68f494fbbdff8221ff788900f64ce3f2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy1_5fpos_6271',['OSCCTRL_STATUS_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#ab7aa6e77ead6ec196101e548cf0cf339',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy_5fpos_6272',['OSCCTRL_STATUS_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a068335c4490f6266cd1b8ac6452c0775',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfden_5fpos_6273',['OSCCTRL_XOSCCTRL_CFDEN_Pos',['../component_2oscctrl_8h.html#a60d8c5bfc01b31ca34b5e519c831476d',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfdpresc_5fpos_6274',['OSCCTRL_XOSCCTRL_CFDPRESC_Pos',['../component_2oscctrl_8h.html#a8dc33d9d6ebbf5568858f380af797476',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenable_5fpos_6275',['OSCCTRL_XOSCCTRL_ENABLE_Pos',['../component_2oscctrl_8h.html#a55753823c79f012a07776d32fb431e50',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenalc_5fpos_6276',['OSCCTRL_XOSCCTRL_ENALC_Pos',['../component_2oscctrl_8h.html#aca0949c12da428ff0ae358a16d53a346',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fimult_5fpos_6277',['OSCCTRL_XOSCCTRL_IMULT_Pos',['../component_2oscctrl_8h.html#abd250ec9e51c26d98151644963c508e2',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fiptat_5fpos_6278',['OSCCTRL_XOSCCTRL_IPTAT_Pos',['../component_2oscctrl_8h.html#a3003e6ff068504def0961267faa43879',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5flowbufgain_5fpos_6279',['OSCCTRL_XOSCCTRL_LOWBUFGAIN_Pos',['../component_2oscctrl_8h.html#a84c7d7844390844005bc5f34bc700e59',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fmask_6280',['OSCCTRL_XOSCCTRL_MASK',['../component_2oscctrl_8h.html#a3569b3c01688e4b0d67f24f451162353',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5foffset_6281',['OSCCTRL_XOSCCTRL_OFFSET',['../component_2oscctrl_8h.html#ab61ec167eaec3b37ce0a5e09811eb519',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fondemand_5fpos_6282',['OSCCTRL_XOSCCTRL_ONDEMAND_Pos',['../component_2oscctrl_8h.html#a3d087d7558147bb7da1da3c88ea2103c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fresetvalue_6283',['OSCCTRL_XOSCCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a1e702ca999cd2ea9e938976d66e4d5e8',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5frunstdby_5fpos_6284',['OSCCTRL_XOSCCTRL_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#ae633882a1d2a6b483181e4256d074c0e',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fstartup_5fpos_6285',['OSCCTRL_XOSCCTRL_STARTUP_Pos',['../component_2oscctrl_8h.html#a4e50b7ee8f0aed0e3ffaf064b9febc35',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fswben_5fpos_6286',['OSCCTRL_XOSCCTRL_SWBEN_Pos',['../component_2oscctrl_8h.html#a3c2bdacb812dab99256add8f91dafa0c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5ftype_6287',['OSCCTRL_XOSCCTRL_Type',['../unionOSCCTRL__XOSCCTRL__Type.html',1,'']]],
['oscctrl_5fxoscctrl_5fxtalen_5fpos_6288',['OSCCTRL_XOSCCTRL_XTALEN_Pos',['../component_2oscctrl_8h.html#a46fe198075472a490bede30dd47abddd',1,'oscctrl.h']]],
['oscctrldpll_6289',['OscctrlDpll',['../structOscctrlDpll.html',1,'']]],
['osculp32k_6290',['OSCULP32K',['../structOsc32kctrl.html#a9bc8e06d5efac5d925b97fe81de80415',1,'Osc32kctrl']]],
['osr_6291',['OSR',['../unionDAC__DACCTRL__Type.html#acef7802aaf41154b469d4a206c8684c4',1,'DAC_DACCTRL_Type']]],
['othi_6292',['OTHI',['../structGmac.html#ab7f44c75f972558841c6d364bdf6258e',1,'Gmac']]],
['otlo_6293',['OTLO',['../structGmac.html#af014c3182c217db1bd9539bd0617394f',1,'Gmac']]],
['otmx_6294',['OTMX',['../unionTCC__WEXCTRL__Type.html#a919da164bd6e5d55d409760fcc1b0d38',1,'TCC_WEXCTRL_Type']]],
['out_6295',['OUT',['../unionAC__COMPCTRL__Type.html#a1b15c83fc337723c7dfada178ded5717',1,'AC_COMPCTRL_Type::OUT()'],['../unionPORT__OUT__Type.html#a1ba82b9420e6a462d0ac2b24083ebeed',1,'PORT_OUT_Type::OUT()'],['../structPortGroup.html#a865fdbe2a7cb1339868e58d9a244031c',1,'PortGroup::OUT()']]],
['outclr_6296',['OUTCLR',['../unionPORT__OUTCLR__Type.html#a6222f60d17303b0aa4dcf7b62c0e8549',1,'PORT_OUTCLR_Type::OUTCLR()'],['../structPortGroup.html#a817b54b38d64825d862ae2a5fc6386aa',1,'PortGroup::OUTCLR()']]],
['outset_6297',['OUTSET',['../unionPORT__OUTSET__Type.html#a219c6a695b96347191962a062fc7d7e1',1,'PORT_OUTSET_Type::OUTSET()'],['../structPortGroup.html#a97265e4a39bd1fc6b0f28db7cb9fa4ae',1,'PortGroup::OUTSET()']]],
['outtgl_6298',['OUTTGL',['../unionPORT__OUTTGL__Type.html#a2d20d8ebdfedd6b7f79defeec9bcc51f',1,'PORT_OUTTGL_Type::OUTTGL()'],['../structPortGroup.html#a5367798690cf523de14f6a7b16dd6765',1,'PortGroup::OUTTGL()']]],
['overrun_6299',['OVERRUN',['../unionADC__INTENCLR__Type.html#ab24494dd0de90967355e1fc26119cb8a',1,'ADC_INTENCLR_Type::OVERRUN()'],['../unionADC__INTENSET__Type.html#af4a7f1673bb8c64fe95821a3948be7f1',1,'ADC_INTENSET_Type::OVERRUN()'],['../unionADC__INTFLAG__Type.html#aab91f64470203c57f3f8f13dfd50dceb',1,'ADC_INTFLAG_Type::OVERRUN()'],['../unionDAC__INTENCLR__Type.html#ad6dbb3ca7276b7e47252ab54ec320976',1,'DAC_INTENCLR_Type::OVERRUN()'],['../unionDAC__INTENSET__Type.html#a09a0b1c2bca29cff8d9a73e599f6db25',1,'DAC_INTENSET_Type::OVERRUN()'],['../unionDAC__INTFLAG__Type.html#a48b4955ed9956d4ded5d6500419c8e25',1,'DAC_INTFLAG_Type::OVERRUN()']]],
['overrun0_6300',['OVERRUN0',['../unionDAC__INTENCLR__Type.html#ac5327f90399d8aa1e8b6ef7f5b969a80',1,'DAC_INTENCLR_Type::OVERRUN0()'],['../unionDAC__INTENSET__Type.html#a1eb4798944a0e48348a7213e6636a008',1,'DAC_INTENSET_Type::OVERRUN0()'],['../unionDAC__INTFLAG__Type.html#a8ae2012caf50a6cd17c0bbf4e0d731a4',1,'DAC_INTFLAG_Type::OVERRUN0()']]],
['overrun1_6301',['OVERRUN1',['../unionDAC__INTENCLR__Type.html#a8839bfcc54df87418e83d0ff8912c9bc',1,'DAC_INTENCLR_Type::OVERRUN1()'],['../unionDAC__INTENSET__Type.html#ada2192e243a4beb894e3bf211085f259',1,'DAC_INTENSET_Type::OVERRUN1()'],['../unionDAC__INTFLAG__Type.html#a15b6a21e7290cdc42956ddef0835955a',1,'DAC_INTFLAG_Type::OVERRUN1()']]],
['ovf_6302',['OVF',['../unionFREQM__STATUS__Type.html#ae63258f290328e0bae6355357c33eb59',1,'FREQM_STATUS_Type::OVF()'],['../unionPDEC__INTENCLR__Type.html#a2b0151e4e0f0ff7e6263f7e07e7a0cf6',1,'PDEC_INTENCLR_Type::OVF()'],['../unionPDEC__INTENSET__Type.html#a8be272a2c28d72b66ac3cf3c9065ec7f',1,'PDEC_INTENSET_Type::OVF()'],['../unionPDEC__INTFLAG__Type.html#a2a5212d8f0df4d7f4c504e6d02ffcce9',1,'PDEC_INTFLAG_Type::OVF()'],['../unionRTC__MODE0__INTENCLR__Type.html#ad693c2e982bdb9ef6265ca5b6f583166',1,'RTC_MODE0_INTENCLR_Type::OVF()'],['../unionRTC__MODE1__INTENCLR__Type.html#ae4528dfed5454e570416e7f3bf67782d',1,'RTC_MODE1_INTENCLR_Type::OVF()'],['../unionRTC__MODE2__INTENCLR__Type.html#a568222e1a036d6e8c1f451b69f75f4b2',1,'RTC_MODE2_INTENCLR_Type::OVF()'],['../unionRTC__MODE0__INTENSET__Type.html#a0dbf70ea1ff20ce2d7a41575d654c7c2',1,'RTC_MODE0_INTENSET_Type::OVF()'],['../unionRTC__MODE1__INTENSET__Type.html#ab73be77cfeb47a4d0ebc86751df01f29',1,'RTC_MODE1_INTENSET_Type::OVF()'],['../unionRTC__MODE2__INTENSET__Type.html#a5676ce8183ab31999f68777c864da647',1,'RTC_MODE2_INTENSET_Type::OVF()'],['../unionRTC__MODE0__INTFLAG__Type.html#adc065436d28ce4b56445a578483d5f13',1,'RTC_MODE0_INTFLAG_Type::OVF()'],['../unionRTC__MODE1__INTFLAG__Type.html#a64a44b01c4bb5226488c632d704b5eed',1,'RTC_MODE1_INTFLAG_Type::OVF()'],['../unionRTC__MODE2__INTFLAG__Type.html#ab94f48aa556490d22a4de7159b137dfd',1,'RTC_MODE2_INTFLAG_Type::OVF()'],['../unionTC__INTENCLR__Type.html#a36ed1651a14e40fe5f59c1065376568f',1,'TC_INTENCLR_Type::OVF()'],['../unionTC__INTENSET__Type.html#a19793cb7c01e5346737b53a0de35c5e0',1,'TC_INTENSET_Type::OVF()'],['../unionTC__INTFLAG__Type.html#a8bcae101b8827a92b11b396c36f7bddb',1,'TC_INTFLAG_Type::OVF()'],['../unionTCC__INTENCLR__Type.html#ac6a617a4402ed62ce8e251ef06f7e8a2',1,'TCC_INTENCLR_Type::OVF()'],['../unionTCC__INTENSET__Type.html#ae4b60e0704b882b2239af499d1b0e136',1,'TCC_INTENSET_Type::OVF()'],['../unionTCC__INTFLAG__Type.html#aae61d2a838cda37d1a4b9301089db92a',1,'TCC_INTFLAG_Type::OVF()']]],
['ovfeo_6303',['OVFEO',['../unionPDEC__EVCTRL__Type.html#a188110a714ac487b74e816c13a54833c',1,'PDEC_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE0__EVCTRL__Type.html#a3ae27c47a5d9d61dfe9a4a279e9f8285',1,'RTC_MODE0_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE1__EVCTRL__Type.html#af7efa9d6c8117210c6e5c52276985c25',1,'RTC_MODE1_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE2__EVCTRL__Type.html#a0878f7dcea0805cb7b960fb1aff2e303',1,'RTC_MODE2_EVCTRL_Type::OVFEO()'],['../unionTC__EVCTRL__Type.html#adc66c1bf5b5db84cf26f83f4d2ed0a9a',1,'TC_EVCTRL_Type::OVFEO()'],['../unionTCC__EVCTRL__Type.html#a6b6803f8a88b1e813bdc6531ba4cc204',1,'TCC_EVCTRL_Type::OVFEO()']]],
['ovr_6304',['OVR',['../unionEVSYS__INTPEND__Type.html#ae49c1ba576b9c627f4ce347a2a192477',1,'EVSYS_INTPEND_Type::OVR()'],['../unionEVSYS__CHINTENCLR__Type.html#a8cb8552b76e461c2349479f6bcc20c39',1,'EVSYS_CHINTENCLR_Type::OVR()'],['../unionEVSYS__CHINTENSET__Type.html#a5048ab80320ecaff0e397a7422957d0a',1,'EVSYS_CHINTENSET_Type::OVR()'],['../unionEVSYS__CHINTFLAG__Type.html#a43ae1b8f54d3dc8eee12a19d63f11102',1,'EVSYS_CHINTFLAG_Type::OVR()']]],
['ovre_6305',['OVRE',['../unionPCC__IER__Type.html#a6f959e1608b95115dbd3bfc14fe1d098',1,'PCC_IER_Type::OVRE()'],['../unionPCC__IDR__Type.html#a0e37b38c257b7f8542081459f78e9ab0',1,'PCC_IDR_Type::OVRE()'],['../unionPCC__IMR__Type.html#a7e6628b541818e819edd5c3f62c12a95',1,'PCC_IMR_Type::OVRE()'],['../unionPCC__ISR__Type.html#ab0cfe5c8be2527c456aad3447b358c07',1,'PCC_ISR_Type::OVRE()']]],
['oscillators_20control_6306',['Oscillators Control',['../group__SAME54__OSCCTRL.html',1,'']]]
['ocdbpgen_6009',['OCDBPGEN',['../structPicop.html#a08ed926ced309198a3a93d7f3b453057',1,'Picop']]],
['ocdccnt_6010',['OCDCCNT',['../structPicop.html#a789d563e3224a77fc0d88fb2e9ae1297',1,'Picop']]],
['ocdcof_6011',['OCDCOF',['../unionPICOP__CMD__Type.html#a52e2f49ee4d212e398aea0bb234e807c',1,'PICOP_CMD_Type']]],
['ocdconfig_6012',['OCDCONFIG',['../structPicop.html#aa9627e4e25ed455d29dae5dc85a00a06',1,'Picop']]],
['ocdcontrol_6013',['OCDCONTROL',['../structPicop.html#a56cb6802962d13ef3bf0925d7c51f660',1,'Picop']]],
['ocden_6014',['OCDEN',['../unionPICOP__OCDCONTROL__Type.html#a42cd106ffce322737a0c69fcd509aff6',1,'PICOP_OCDCONTROL_Type']]],
['ocdfeat_6015',['OCDFEAT',['../structPicop.html#a34ed43adaf51dec7019c49074b6d326c',1,'Picop']]],
['ocdpc_6016',['OCDPC',['../structPicop.html#a5545b15e0290af0e2cbbdfd583e3e424',1,'Picop']]],
['ocdstatus_6017',['OCDSTATUS',['../structPicop.html#a90528bf0937031f6d3afc46fae2cf787',1,'Picop']]],
['oe_6018',['OE',['../unionGCLK__GENCTRL__Type.html#a6fd55e0d3633d0f587579b80c3a64931',1,'GCLK_GENCTRL_Type']]],
['offcomp_6019',['OFFCOMP',['../unionADC__SAMPCTRL__Type.html#a0135a49f9a6b340538472525ee78f1b6',1,'ADC_SAMPCTRL_Type']]],
['offsetcorr_6020',['OFFSETCORR',['../unionADC__OFFSETCORR__Type.html#ae0c4140ae9e600491f10a16054b634a3',1,'ADC_OFFSETCORR_Type::OFFSETCORR()'],['../unionADC__SYNCBUSY__Type.html#a8d211de503be2bfd877206e023c5b0c8',1,'ADC_SYNCBUSY_Type::OFFSETCORR()'],['../unionADC__DSEQCTRL__Type.html#a2ff9752a4a9a20387173735575989c6f',1,'ADC_DSEQCTRL_Type::OFFSETCORR()'],['../unionADC__DSEQSTAT__Type.html#a8387e97377811580c13743b721ea8762',1,'ADC_DSEQSTAT_Type::OFFSETCORR()'],['../structAdc.html#a797f96e8f37446dace23eeafbf3cf3b5',1,'Adc::OFFSETCORR()']]],
['ofr_6021',['OFR',['../structGmac.html#a18e2f713ddaa6a7ea3d7f6e27050df60',1,'Gmac']]],
['ofrx_6022',['OFRX',['../unionGMAC__OFR__Type.html#a0d91bf27a8f3a9ae9cd422326bdf82c0',1,'GMAC_OFR_Type']]],
['ondemand_6023',['ONDEMAND',['../unionADC__CTRLA__Type.html#a2a96f922b99a63e1a3a22aa8d99f011d',1,'ADC_CTRLA_Type::ONDEMAND()'],['../unionEVSYS__CHANNEL__Type.html#a5c137c1a5e6b8e02df3eda0ef5167fb3',1,'EVSYS_CHANNEL_Type::ONDEMAND()'],['../unionOSC32KCTRL__XOSC32K__Type.html#a2a2f46d93727d7887f810396eda84286',1,'OSC32KCTRL_XOSC32K_Type::ONDEMAND()'],['../unionOSCCTRL__XOSCCTRL__Type.html#ab2d3db3bbaf2451a8262ffee12837c57',1,'OSCCTRL_XOSCCTRL_Type::ONDEMAND()'],['../unionOSCCTRL__DFLLCTRLA__Type.html#a27554c0ef44111f899436cda6223c905',1,'OSCCTRL_DFLLCTRLA_Type::ONDEMAND()'],['../unionOSCCTRL__DPLLCTRLA__Type.html#adff898038902f3c4e58646ea03d85baa',1,'OSCCTRL_DPLLCTRLA_Type::ONDEMAND()'],['../unionSUPC__VREF__Type.html#a388c89fb3bc5cf5ff24e21ad351a5add',1,'SUPC_VREF_Type::ONDEMAND()'],['../unionTC__CTRLA__Type.html#a5abeb63a973c5bf10f3cfa4743932e34',1,'TC_CTRLA_Type::ONDEMAND()']]],
['oneshot_6024',['ONESHOT',['../unionTC__CTRLBCLR__Type.html#a227a85331b3ed31175c79634af8a645c',1,'TC_CTRLBCLR_Type::ONESHOT()'],['../unionTC__CTRLBSET__Type.html#afa8b0846594a1631caa23eea99e9ad58',1,'TC_CTRLBSET_Type::ONESHOT()'],['../unionTCC__CTRLBCLR__Type.html#a7cd8146b9068c7f52f27b5a000b5a567',1,'TCC_CTRLBCLR_Type::ONESHOT()'],['../unionTCC__CTRLBSET__Type.html#a0886f8eb7f0f15b6cad5b8805211eed5',1,'TCC_CTRLBSET_Type::ONESHOT()']]],
['oov_6025',['OOV',['../unionGCLK__GENCTRL__Type.html#a5abb899024db2aeb89d5b61b68e9f925',1,'GCLK_GENCTRL_Type']]],
['op_6026',['OP',['../unionGMAC__MAN__Type.html#ab9781c844163edae561c3092cdf21618',1,'GMAC_MAN_Type']]],
['opd_6027',['OPD',['../unionSDHC__MC1R__Type.html#a506ffa6be5fefc9388620a359f5b5111',1,'SDHC_MC1R_Type']]],
['opmode2_6028',['OPMODE2',['../unionUSB__DEVICE__CTRLB__Type.html#aae231fb27c6f51f43a96acda2b963e84',1,'USB_DEVICE_CTRLB_Type']]],
['optcode_6029',['OPTCODE',['../unionQSPI__INSTRCTRL__Type.html#a1a7769aa0471f5cef5a1cc7a45953783',1,'QSPI_INSTRCTRL_Type']]],
['optcodeen_6030',['OPTCODEEN',['../unionQSPI__INSTRFRAME__Type.html#a6c27c6138d6479df2cd8494513a381c0',1,'QSPI_INSTRFRAME_Type']]],
['optcodelen_6031',['OPTCODELEN',['../unionQSPI__INSTRFRAME__Type.html#a7c66c0225ebd04b6fe659b8ac437feb1',1,'QSPI_INSTRFRAME_Type']]],
['orhi_6032',['ORHI',['../structGmac.html#aaec9cae927af308d58832e327edceff2',1,'Gmac']]],
['orlo_6033',['ORLO',['../structGmac.html#a35ca6a9e4548e86d9949e7501235a6e0',1,'Gmac']]],
['osc32kctrl_6034',['Osc32kctrl',['../structOsc32kctrl.html',1,'Osc32kctrl'],['../same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p20a.h']]],
['osc32kctrl_2eh_6035',['osc32kctrl.h',['../component_2osc32kctrl_8h.html',1,'(Global Namespace)'],['../instance_2osc32kctrl_8h.html',1,'(Global Namespace)']]],
['osc32kctrl_5f_6036',['OSC32KCTRL_',['../unionMCLK__APBAMASK__Type.html#acf8299f01ce6484506ad9b8da1c8766a',1,'MCLK_APBAMASK_Type::OSC32KCTRL_()'],['../unionPAC__INTFLAGA__Type.html#a62ebbd62cd313325cc08d077904600ad',1,'PAC_INTFLAGA_Type::OSC32KCTRL_()'],['../unionPAC__STATUSA__Type.html#a3d7062cb7b01559c3ade53a550b16d9d',1,'PAC_STATUSA_Type::OSC32KCTRL_()']]],
['osc32kctrl_5fcfdctrl_5fcfden_5fpos_6037',['OSC32KCTRL_CFDCTRL_CFDEN_Pos',['../component_2osc32kctrl_8h.html#a2aedb65f916f976703240140126904f6',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fcfdpresc_5fpos_6038',['OSC32KCTRL_CFDCTRL_CFDPRESC_Pos',['../component_2osc32kctrl_8h.html#a2dc36bba505b43a70628172895e4cee3',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fmask_6039',['OSC32KCTRL_CFDCTRL_MASK',['../component_2osc32kctrl_8h.html#a3fec783d5e565f11fe77f4ae88a9876d',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5foffset_6040',['OSC32KCTRL_CFDCTRL_OFFSET',['../component_2osc32kctrl_8h.html#ab2fbb0a95bf2348b26d6eb55d9488e27',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fresetvalue_6041',['OSC32KCTRL_CFDCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#ab195093fda704bdb95893108576c6ba5',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fswback_5fpos_6042',['OSC32KCTRL_CFDCTRL_SWBACK_Pos',['../component_2osc32kctrl_8h.html#a2e8a160a709d649bf92161a98ed35068',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5ftype_6043',['OSC32KCTRL_CFDCTRL_Type',['../unionOSC32KCTRL__CFDCTRL__Type.html',1,'']]],
['osc32kctrl_5fevctrl_5fcfdeo_5fpos_6044',['OSC32KCTRL_EVCTRL_CFDEO_Pos',['../component_2osc32kctrl_8h.html#a71fb66c27d4c80fa73af5641ef5083ae',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fmask_6045',['OSC32KCTRL_EVCTRL_MASK',['../component_2osc32kctrl_8h.html#a8c5fd77028706618775ef03e5b6fd146',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5foffset_6046',['OSC32KCTRL_EVCTRL_OFFSET',['../component_2osc32kctrl_8h.html#abe8425e24e008b4160dd1756dc5c4e66',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fresetvalue_6047',['OSC32KCTRL_EVCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#aced57526fa5e5b62a8f4bebb37deea0f',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5ftype_6048',['OSC32KCTRL_EVCTRL_Type',['../unionOSC32KCTRL__EVCTRL__Type.html',1,'']]],
['osc32kctrl_5finst_5fnum_6049',['OSC32KCTRL_INST_NUM',['../same54n19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p20a.h']]],
['osc32kctrl_5finsts_6050',['OSC32KCTRL_INSTS',['../same54n19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p20a.h']]],
['osc32kctrl_5fintenclr_5fmask_6051',['OSC32KCTRL_INTENCLR_MASK',['../component_2osc32kctrl_8h.html#a753f913b2693500c8b4c878c5ed1e60a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5foffset_6052',['OSC32KCTRL_INTENCLR_OFFSET',['../component_2osc32kctrl_8h.html#a22544563390854dfa7f044a4b83abb54',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fresetvalue_6053',['OSC32KCTRL_INTENCLR_RESETVALUE',['../component_2osc32kctrl_8h.html#ad3aafd31e91ecf11f10d847aca17c178',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5ftype_6054',['OSC32KCTRL_INTENCLR_Type',['../unionOSC32KCTRL__INTENCLR__Type.html',1,'']]],
['osc32kctrl_5fintenclr_5fxosc32kfail_5fpos_6055',['OSC32KCTRL_INTENCLR_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#af25e6f5cc19d74258a0272cab04cc74e',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32krdy_5fpos_6056',['OSC32KCTRL_INTENCLR_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#ac526cada7174d6b98a29d5f0cf44ae97',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fmask_6057',['OSC32KCTRL_INTENSET_MASK',['../component_2osc32kctrl_8h.html#affc01dd3bc722708c644175127d73070',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5foffset_6058',['OSC32KCTRL_INTENSET_OFFSET',['../component_2osc32kctrl_8h.html#acd0c571999e2292d247edb6c3226bc6a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fresetvalue_6059',['OSC32KCTRL_INTENSET_RESETVALUE',['../component_2osc32kctrl_8h.html#a50b1ca7855eb0e99ad3619bb83f08d14',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5ftype_6060',['OSC32KCTRL_INTENSET_Type',['../unionOSC32KCTRL__INTENSET__Type.html',1,'']]],
['osc32kctrl_5fintenset_5fxosc32kfail_5fpos_6061',['OSC32KCTRL_INTENSET_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#a7ebf60f93ae5934bdb16757b89c65663',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32krdy_5fpos_6062',['OSC32KCTRL_INTENSET_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#af952ca2a3c0659258f67df4af0455662',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fmask_6063',['OSC32KCTRL_INTFLAG_MASK',['../component_2osc32kctrl_8h.html#a7265e7d7ad5a14c6c1c13035721290c2',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5foffset_6064',['OSC32KCTRL_INTFLAG_OFFSET',['../component_2osc32kctrl_8h.html#aec77fa56678a12082b0db8a754fa1750',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fresetvalue_6065',['OSC32KCTRL_INTFLAG_RESETVALUE',['../component_2osc32kctrl_8h.html#a29a58edeb42ff385598a3005004dddf0',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5ftype_6066',['OSC32KCTRL_INTFLAG_Type',['../unionOSC32KCTRL__INTFLAG__Type.html',1,'']]],
['osc32kctrl_5fintflag_5fxosc32kfail_5fpos_6067',['OSC32KCTRL_INTFLAG_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#ac64beded2c373e26b4afc3145c5913de',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32krdy_5fpos_6068',['OSC32KCTRL_INTFLAG_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#a85c2db168fc2fe2f24f7d01f57721a86',1,'osc32kctrl.h']]],
['osc32kctrl_5firqn_6069',['OSC32KCTRL_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p20a.h']]],
['osc32kctrl_5fosculp32k_5fcalib_5fpos_6070',['OSC32KCTRL_OSCULP32K_CALIB_Pos',['../component_2osc32kctrl_8h.html#aa2abedc94425667d08d6682c2c4f19cf',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen1k_5fpos_6071',['OSC32KCTRL_OSCULP32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#aadfe0343e0462db3b20dca24186d2681',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen32k_5fpos_6072',['OSC32KCTRL_OSCULP32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a83057d513fe89052c2f00778184eeb02',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fmask_6073',['OSC32KCTRL_OSCULP32K_MASK',['../component_2osc32kctrl_8h.html#a7b3ca0c8a3b7f6e1b9baf3c1da4cd182',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5foffset_6074',['OSC32KCTRL_OSCULP32K_OFFSET',['../component_2osc32kctrl_8h.html#aa2ae9323b7a5247081c2bfc04f97e8d1',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fresetvalue_6075',['OSC32KCTRL_OSCULP32K_RESETVALUE',['../component_2osc32kctrl_8h.html#ab745111a32543331557e25c5563a4511',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5ftype_6076',['OSC32KCTRL_OSCULP32K_Type',['../unionOSC32KCTRL__OSCULP32K__Type.html',1,'']]],
['osc32kctrl_5fosculp32k_5fwrtlock_5fpos_6077',['OSC32KCTRL_OSCULP32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#a591a0a2ca06e742e29fcac7418e0593f',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fmask_6078',['OSC32KCTRL_RTCCTRL_MASK',['../component_2osc32kctrl_8h.html#aa679222a2941c8283211ffdc4f5a7899',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5foffset_6079',['OSC32KCTRL_RTCCTRL_OFFSET',['../component_2osc32kctrl_8h.html#aa2ebbecf02c02fa55aa17bb3f0099fbf',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fresetvalue_6080',['OSC32KCTRL_RTCCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#a7d97c06430481f93acb8a0290e2dad80',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fpos_6081',['OSC32KCTRL_RTCCTRL_RTCSEL_Pos',['../component_2osc32kctrl_8h.html#adcfbd528df699f49aa94aed33cfa1324',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp1k_5fval_6082',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP1K_Val',['../component_2osc32kctrl_8h.html#abc6a6db1dfeac26e74f33b4afd4a1252',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp32k_5fval_6083',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP32K_Val',['../component_2osc32kctrl_8h.html#ae97e1489ab8d1f1a49d8e7e2ad8b7427',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc1k_5fval_6084',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC1K_Val',['../component_2osc32kctrl_8h.html#a14454b0efb7d20c1a14a46ebc86ff167',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc32k_5fval_6085',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC32K_Val',['../component_2osc32kctrl_8h.html#a3a4fae30686693a728ec790fa0bde8e6',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5ftype_6086',['OSC32KCTRL_RTCCTRL_Type',['../unionOSC32KCTRL__RTCCTRL__Type.html',1,'']]],
['osc32kctrl_5fstatus_5fmask_6087',['OSC32KCTRL_STATUS_MASK',['../component_2osc32kctrl_8h.html#a1951a838cab5c017c20ba51dcea40224',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5foffset_6088',['OSC32KCTRL_STATUS_OFFSET',['../component_2osc32kctrl_8h.html#aac54085818ba483d7ee48d673dee16a4',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fresetvalue_6089',['OSC32KCTRL_STATUS_RESETVALUE',['../component_2osc32kctrl_8h.html#a9e2afc754dc43d2728983182cadff4f1',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5ftype_6090',['OSC32KCTRL_STATUS_Type',['../unionOSC32KCTRL__STATUS__Type.html',1,'']]],
['osc32kctrl_5fstatus_5fxosc32kfail_5fpos_6091',['OSC32KCTRL_STATUS_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#aaa40c13030939e6facaf0e5e61977ceb',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32krdy_5fpos_6092',['OSC32KCTRL_STATUS_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#aa403dd126839f064ae0c834d2bd63477',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32ksw_5fpos_6093',['OSC32KCTRL_STATUS_XOSC32KSW_Pos',['../component_2osc32kctrl_8h.html#a747587aa6615db76b74bf6f40ecd6f78',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fhs_5fval_6094',['OSC32KCTRL_XOSC32K_CGM_HS_Val',['../component_2osc32kctrl_8h.html#a5861dda7b93a256f983a20230827df31',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fpos_6095',['OSC32KCTRL_XOSC32K_CGM_Pos',['../component_2osc32kctrl_8h.html#a2951861a2035901bcd3f214e894a1a5e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fxt_5fval_6096',['OSC32KCTRL_XOSC32K_CGM_XT_Val',['../component_2osc32kctrl_8h.html#a13a89e5964df1c5525050900094eda55',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen1k_5fpos_6097',['OSC32KCTRL_XOSC32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#ade7145443f1f0bbd5705dce47e2e990e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen32k_5fpos_6098',['OSC32KCTRL_XOSC32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a746636fe077be073185aec9422a5c7a6',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fenable_5fpos_6099',['OSC32KCTRL_XOSC32K_ENABLE_Pos',['../component_2osc32kctrl_8h.html#a0d7122ed419157c43c7c76da24469f6e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fmask_6100',['OSC32KCTRL_XOSC32K_MASK',['../component_2osc32kctrl_8h.html#ad0a22f67c5bcc3d0f0df3840c623f7ec',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5foffset_6101',['OSC32KCTRL_XOSC32K_OFFSET',['../component_2osc32kctrl_8h.html#a4c8965d920445c75c0c2c3ef28b2a642',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fondemand_5fpos_6102',['OSC32KCTRL_XOSC32K_ONDEMAND_Pos',['../component_2osc32kctrl_8h.html#a3f72f0a3fe37daf64ad90aa1041cc747',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fresetvalue_6103',['OSC32KCTRL_XOSC32K_RESETVALUE',['../component_2osc32kctrl_8h.html#a387f876de09ea1649ef2298d27e83c00',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5frunstdby_5fpos_6104',['OSC32KCTRL_XOSC32K_RUNSTDBY_Pos',['../component_2osc32kctrl_8h.html#aab719702395f394c3f8ab2a0862e3c62',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fstartup_5fpos_6105',['OSC32KCTRL_XOSC32K_STARTUP_Pos',['../component_2osc32kctrl_8h.html#ace3a98c58164bac82b192e5c04bbc4f8',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5ftype_6106',['OSC32KCTRL_XOSC32K_Type',['../unionOSC32KCTRL__XOSC32K__Type.html',1,'']]],
['osc32kctrl_5fxosc32k_5fwrtlock_5fpos_6107',['OSC32KCTRL_XOSC32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#aac0d0821539272a535011934da425e8b',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fxtalen_5fpos_6108',['OSC32KCTRL_XOSC32K_XTALEN_Pos',['../component_2osc32kctrl_8h.html#aab290b9fde2ce0432bd019281585e60c',1,'osc32kctrl.h']]],
['oscctrl_6109',['Oscctrl',['../structOscctrl.html',1,'Oscctrl'],['../same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p20a.h']]],
['oscctrl_2eh_6110',['oscctrl.h',['../component_2oscctrl_8h.html',1,'(Global Namespace)'],['../instance_2oscctrl_8h.html',1,'(Global Namespace)']]],
['oscctrl_5f_6111',['OSCCTRL_',['../unionMCLK__APBAMASK__Type.html#a1ea98bb1063611d628335d460de03389',1,'MCLK_APBAMASK_Type::OSCCTRL_()'],['../unionPAC__INTFLAGA__Type.html#a5b62a0a2939e792001834edc95b780f1',1,'PAC_INTFLAGA_Type::OSCCTRL_()'],['../unionPAC__STATUSA__Type.html#aca0419c15c216c75000c20dc5df2d967',1,'PAC_STATUSA_Type::OSCCTRL_()']]],
['oscctrl_5f0_5firqn_6112',['OSCCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f1_5firqn_6113',['OSCCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f2_5firqn_6114',['OSCCTRL_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f3_5firqn_6115',['OSCCTRL_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f4_5firqn_6116',['OSCCTRL_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p20a.h']]],
['oscctrl_5fdfllctrla_5fenable_5fpos_6117',['OSCCTRL_DFLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#ac52718d932dc91aced9a43bcc0a30f67',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fmask_6118',['OSCCTRL_DFLLCTRLA_MASK',['../component_2oscctrl_8h.html#a8da8bde82b88385d7a0450edc12d4788',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5foffset_6119',['OSCCTRL_DFLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a66a0e69b3ee663b2d47a635641b3f830',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fondemand_5fpos_6120',['OSCCTRL_DFLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ac55896db5f5513fffbead77d7725c7d4',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fresetvalue_6121',['OSCCTRL_DFLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a8e3996f83720641b903cbb6d9ec384ad',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5frunstdby_5fpos_6122',['OSCCTRL_DFLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#a6e18e8612332ec33be46da48d6af6326',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5ftype_6123',['OSCCTRL_DFLLCTRLA_Type',['../unionOSCCTRL__DFLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5fbplckc_5fpos_6124',['OSCCTRL_DFLLCTRLB_BPLCKC_Pos',['../component_2oscctrl_8h.html#aa51375783f841ae924335bcd1e11a9e7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fccdis_5fpos_6125',['OSCCTRL_DFLLCTRLB_CCDIS_Pos',['../component_2oscctrl_8h.html#afd696a109b6a505c8979712490300871',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fllaw_5fpos_6126',['OSCCTRL_DFLLCTRLB_LLAW_Pos',['../component_2oscctrl_8h.html#aadbf916c853f33b2bfdb3aac1f51305e',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmask_6127',['OSCCTRL_DFLLCTRLB_MASK',['../component_2oscctrl_8h.html#a8e776a7b4b70ff9cc15cee6dbc792e80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmode_5fpos_6128',['OSCCTRL_DFLLCTRLB_MODE_Pos',['../component_2oscctrl_8h.html#a81a2b2a576221303235f1662fa482dc7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5foffset_6129',['OSCCTRL_DFLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a69e718033e7a0dfb51ba54cadaca79eb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fqldis_5fpos_6130',['OSCCTRL_DFLLCTRLB_QLDIS_Pos',['../component_2oscctrl_8h.html#af137760440a258da8ff5058cd0ba8feb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fresetvalue_6131',['OSCCTRL_DFLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a3febab6fa467422e1ef45900924b8d80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fstable_5fpos_6132',['OSCCTRL_DFLLCTRLB_STABLE_Pos',['../component_2oscctrl_8h.html#ab3f3fa0d56fef05164fa2d4c782e7328',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5ftype_6133',['OSCCTRL_DFLLCTRLB_Type',['../unionOSCCTRL__DFLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5fusbcrm_5fpos_6134',['OSCCTRL_DFLLCTRLB_USBCRM_Pos',['../component_2oscctrl_8h.html#afe8c1970e53420b740d6a340f832eb78',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fwaitlock_5fpos_6135',['OSCCTRL_DFLLCTRLB_WAITLOCK_Pos',['../component_2oscctrl_8h.html#a9b1b8f771d56ce2d7b917c28a48ac724',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fcstep_5fpos_6136',['OSCCTRL_DFLLMUL_CSTEP_Pos',['../component_2oscctrl_8h.html#a0c8450b117abc6c2de82b7b1fc96297a',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ffstep_5fpos_6137',['OSCCTRL_DFLLMUL_FSTEP_Pos',['../component_2oscctrl_8h.html#a4f5fe6a1887b7f8b49c1ff906c00d3c0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmask_6138',['OSCCTRL_DFLLMUL_MASK',['../component_2oscctrl_8h.html#af806f0aed246525bd1f1244e66da2550',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmul_5fpos_6139',['OSCCTRL_DFLLMUL_MUL_Pos',['../component_2oscctrl_8h.html#af28d647c2e21f62492d2fb7dae6379c1',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5foffset_6140',['OSCCTRL_DFLLMUL_OFFSET',['../component_2oscctrl_8h.html#acbc7ecae09d7c5833c15e713518f6af0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fresetvalue_6141',['OSCCTRL_DFLLMUL_RESETVALUE',['../component_2oscctrl_8h.html#a16ffd9100a1b1e248997caaf180cc818',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ftype_6142',['OSCCTRL_DFLLMUL_Type',['../unionOSCCTRL__DFLLMUL__Type.html',1,'']]],
['oscctrl_5fdfllsync_5fdfllctrlb_5fpos_6143',['OSCCTRL_DFLLSYNC_DFLLCTRLB_Pos',['../component_2oscctrl_8h.html#af1d1777c5fbac95e3e98d57d1d2a39a9',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllmul_5fpos_6144',['OSCCTRL_DFLLSYNC_DFLLMUL_Pos',['../component_2oscctrl_8h.html#a52e6dad6dbda589bfb0cbc57afe2e3fd',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllval_5fpos_6145',['OSCCTRL_DFLLSYNC_DFLLVAL_Pos',['../component_2oscctrl_8h.html#a07da10ead25b5789ea16707e059a24e8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fenable_5fpos_6146',['OSCCTRL_DFLLSYNC_ENABLE_Pos',['../component_2oscctrl_8h.html#adff68bf675fb7f9c30aa5e96c1e478f8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fmask_6147',['OSCCTRL_DFLLSYNC_MASK',['../component_2oscctrl_8h.html#afd97d4fa228e779e98b8706cd34934c2',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5foffset_6148',['OSCCTRL_DFLLSYNC_OFFSET',['../component_2oscctrl_8h.html#ae788363f83086b565eb6735cbb2e9ea0',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fresetvalue_6149',['OSCCTRL_DFLLSYNC_RESETVALUE',['../component_2oscctrl_8h.html#ae8a44ba0fa19b83e9660609fcad38949',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5ftype_6150',['OSCCTRL_DFLLSYNC_Type',['../unionOSCCTRL__DFLLSYNC__Type.html',1,'']]],
['oscctrl_5fdfllval_5fcoarse_5fpos_6151',['OSCCTRL_DFLLVAL_COARSE_Pos',['../component_2oscctrl_8h.html#a4060e9896f8ecee10e8c47b916b0a036',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fdiff_5fpos_6152',['OSCCTRL_DFLLVAL_DIFF_Pos',['../component_2oscctrl_8h.html#a3285312e7cb0b1dc1211b6e67bf9444d',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ffine_5fpos_6153',['OSCCTRL_DFLLVAL_FINE_Pos',['../component_2oscctrl_8h.html#acbf24eb2695191929f7fe5384e93ac82',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fmask_6154',['OSCCTRL_DFLLVAL_MASK',['../component_2oscctrl_8h.html#a9f0663f26a54cc0857ad891963fd7d2e',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5foffset_6155',['OSCCTRL_DFLLVAL_OFFSET',['../component_2oscctrl_8h.html#a73984d1b76d49184781e4b3f31114b2f',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fresetvalue_6156',['OSCCTRL_DFLLVAL_RESETVALUE',['../component_2oscctrl_8h.html#a698a0db24626335bd0120330a28bdfc3',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ftype_6157',['OSCCTRL_DFLLVAL_Type',['../unionOSCCTRL__DFLLVAL__Type.html',1,'']]],
['oscctrl_5fdpllctrla_5fenable_5fpos_6158',['OSCCTRL_DPLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#a0b469beaddd68ce9ea7fca6c05e9ada5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fmask_6159',['OSCCTRL_DPLLCTRLA_MASK',['../component_2oscctrl_8h.html#ad1b1636763f7932da29230659b6f1482',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5foffset_6160',['OSCCTRL_DPLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a37a4733b04ee101902f2512b99059cd5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fondemand_5fpos_6161',['OSCCTRL_DPLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ad9e01b631ceb9a9cd32ae5bc6e0f0483',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fresetvalue_6162',['OSCCTRL_DPLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a1753de286cb86ad6e147893f3413bd61',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5frunstdby_5fpos_6163',['OSCCTRL_DPLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#afef60db26a0859cab97dfc76903c6156',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5ftype_6164',['OSCCTRL_DPLLCTRLA_Type',['../unionOSCCTRL__DPLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5fdcoen_5fpos_6165',['OSCCTRL_DPLLCTRLB_DCOEN_Pos',['../component_2oscctrl_8h.html#a2f1d7d6d5f218e9011e9e74c3073e74f',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcofilter_5fpos_6166',['OSCCTRL_DPLLCTRLB_DCOFILTER_Pos',['../component_2oscctrl_8h.html#ae9ed5ffff32ec37cf905e90bc0d6165a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdiv_5fpos_6167',['OSCCTRL_DPLLCTRLB_DIV_Pos',['../component_2oscctrl_8h.html#a4be649bdf3f99bbda9c530dbfadd27ef',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ffilter_5fpos_6168',['OSCCTRL_DPLLCTRLB_FILTER_Pos',['../component_2oscctrl_8h.html#a8df5368ebb5161543ef658da3a849a5e',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5flbypass_5fpos_6169',['OSCCTRL_DPLLCTRLB_LBYPASS_Pos',['../component_2oscctrl_8h.html#a7ea92e3e4b6ef826bbdc4b861343472d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1ms_5fval_6170',['OSCCTRL_DPLLCTRLB_LTIME_1MS_Val',['../component_2oscctrl_8h.html#acdf2a38bd236df0c88dc690bcb92f0af',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1p1ms_5fval_6171',['OSCCTRL_DPLLCTRLB_LTIME_1P1MS_Val',['../component_2oscctrl_8h.html#a042bd49e50a351e297ce6d85b74d5c97',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f800us_5fval_6172',['OSCCTRL_DPLLCTRLB_LTIME_800US_Val',['../component_2oscctrl_8h.html#a77761eb0e9f20588023d1685f2fed4c7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f900us_5fval_6173',['OSCCTRL_DPLLCTRLB_LTIME_900US_Val',['../component_2oscctrl_8h.html#ac0731b39348d76cc048e022643f81e7a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fdefault_5fval_6174',['OSCCTRL_DPLLCTRLB_LTIME_DEFAULT_Val',['../component_2oscctrl_8h.html#a8ddcaa2262fb02d4d166efb6cab6cdce',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fpos_6175',['OSCCTRL_DPLLCTRLB_LTIME_Pos',['../component_2oscctrl_8h.html#a548d60cb7bc67169f8aae58e9172405d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fmask_6176',['OSCCTRL_DPLLCTRLB_MASK',['../component_2oscctrl_8h.html#a05fc8d7b773b1d682b972d9f4101001d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5foffset_6177',['OSCCTRL_DPLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a71dc4af9ba22fbd5c6aa3d5d371d9a59',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fgclk_5fval_6178',['OSCCTRL_DPLLCTRLB_REFCLK_GCLK_Val',['../component_2oscctrl_8h.html#a38354933951893dbb52e7e27cb9f1dac',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fpos_6179',['OSCCTRL_DPLLCTRLB_REFCLK_Pos',['../component_2oscctrl_8h.html#ad0796705a34fa0f49ef3bd5384a9bcb7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc0_5fval_6180',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC0_Val',['../component_2oscctrl_8h.html#ae7a65d1ec10c7884fc767e29df247358',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc1_5fval_6181',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC1_Val',['../component_2oscctrl_8h.html#a9736f8eba0ea7cb54ca311a8066a2949',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc32_5fval_6182',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC32_Val',['../component_2oscctrl_8h.html#a4a987808375b88b41ddff5932c8f81e5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fresetvalue_6183',['OSCCTRL_DPLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a49595cc57a3da5b0553aebc31760e7ca',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ftype_6184',['OSCCTRL_DPLLCTRLB_Type',['../unionOSCCTRL__DPLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5fwuf_5fpos_6185',['OSCCTRL_DPLLCTRLB_WUF_Pos',['../component_2oscctrl_8h.html#a93ec22d41bd1fbe0745a29b207d8691b',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldr_5fpos_6186',['OSCCTRL_DPLLRATIO_LDR_Pos',['../component_2oscctrl_8h.html#a8eca3bc229c889cd3d3779dc929c643f',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldrfrac_5fpos_6187',['OSCCTRL_DPLLRATIO_LDRFRAC_Pos',['../component_2oscctrl_8h.html#aef38c55c07d00397f447987ed0bc9bcc',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fmask_6188',['OSCCTRL_DPLLRATIO_MASK',['../component_2oscctrl_8h.html#a2f63ccd4be69ce0228fea5b36f5759c8',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5foffset_6189',['OSCCTRL_DPLLRATIO_OFFSET',['../component_2oscctrl_8h.html#a60bf82218bf0deb9cc9cfcc05db4f5ee',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fresetvalue_6190',['OSCCTRL_DPLLRATIO_RESETVALUE',['../component_2oscctrl_8h.html#a0644b362777fc4bd26ff3be75521a753',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5ftype_6191',['OSCCTRL_DPLLRATIO_Type',['../unionOSCCTRL__DPLLRATIO__Type.html',1,'']]],
['oscctrl_5fdpllstatus_5fclkrdy_5fpos_6192',['OSCCTRL_DPLLSTATUS_CLKRDY_Pos',['../component_2oscctrl_8h.html#a7050091daa0c7060570b8fb41a4396c7',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5flock_5fpos_6193',['OSCCTRL_DPLLSTATUS_LOCK_Pos',['../component_2oscctrl_8h.html#a569aa091512f4853d45f9217287f49ee',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fmask_6194',['OSCCTRL_DPLLSTATUS_MASK',['../component_2oscctrl_8h.html#a9594a8635037d6f6303db8bb1f9abede',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5foffset_6195',['OSCCTRL_DPLLSTATUS_OFFSET',['../component_2oscctrl_8h.html#a7187cfcdd8e6b42e88eff7617a051506',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fresetvalue_6196',['OSCCTRL_DPLLSTATUS_RESETVALUE',['../component_2oscctrl_8h.html#a915e0da834493a69f9efaafc12a411d3',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5ftype_6197',['OSCCTRL_DPLLSTATUS_Type',['../unionOSCCTRL__DPLLSTATUS__Type.html',1,'']]],
['oscctrl_5fdpllsyncbusy_5fdpllratio_5fpos_6198',['OSCCTRL_DPLLSYNCBUSY_DPLLRATIO_Pos',['../component_2oscctrl_8h.html#ab2f0bc0ad59bccd246390ccd8886542d',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fenable_5fpos_6199',['OSCCTRL_DPLLSYNCBUSY_ENABLE_Pos',['../component_2oscctrl_8h.html#a54af50bfcb6024fc8f7bb09d075c76af',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fmask_6200',['OSCCTRL_DPLLSYNCBUSY_MASK',['../component_2oscctrl_8h.html#afbce2b9bec1e87b9116643abd7b77d6b',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5foffset_6201',['OSCCTRL_DPLLSYNCBUSY_OFFSET',['../component_2oscctrl_8h.html#a2c5a41f1265f4fa368dd76a5e906a6ff',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fresetvalue_6202',['OSCCTRL_DPLLSYNCBUSY_RESETVALUE',['../component_2oscctrl_8h.html#ad7e00f58be72dd69d9a2115fbe2d08bf',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5ftype_6203',['OSCCTRL_DPLLSYNCBUSY_Type',['../unionOSCCTRL__DPLLSYNCBUSY__Type.html',1,'']]],
['oscctrl_5fevctrl_5fcfdeo0_5fpos_6204',['OSCCTRL_EVCTRL_CFDEO0_Pos',['../component_2oscctrl_8h.html#ad86022dc131fd85aab7434a187d52d2b',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo1_5fpos_6205',['OSCCTRL_EVCTRL_CFDEO1_Pos',['../component_2oscctrl_8h.html#abfca963e158e3751e6eef579dc8e2459',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo_5fpos_6206',['OSCCTRL_EVCTRL_CFDEO_Pos',['../component_2oscctrl_8h.html#a3a810998724eb0a551b11ebad8af0c3f',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fmask_6207',['OSCCTRL_EVCTRL_MASK',['../component_2oscctrl_8h.html#ae40fb603cd76abcad4d1181cf7f806bb',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5foffset_6208',['OSCCTRL_EVCTRL_OFFSET',['../component_2oscctrl_8h.html#a996fe1676326158a46ec7ca799f6c476',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fresetvalue_6209',['OSCCTRL_EVCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a3da520e04154e8d0d4e075f4b925d07e',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5ftype_6210',['OSCCTRL_EVCTRL_Type',['../unionOSCCTRL__EVCTRL__Type.html',1,'']]],
['oscctrl_5finst_5fnum_6211',['OSCCTRL_INST_NUM',['../same54n19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p20a.h']]],
['oscctrl_5finsts_6212',['OSCCTRL_INSTS',['../same54n19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p20a.h']]],
['oscctrl_5fintenclr_5fdflllckc_5fpos_6213',['OSCCTRL_INTENCLR_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#acfd407452687ecbe98f2092c48c9cf0b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflllckf_5fpos_6214',['OSCCTRL_INTENCLR_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#aedeada806272464f02c40c311aa13580',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflloob_5fpos_6215',['OSCCTRL_INTENCLR_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a42b59a19205fb09e83323b23751da9cc',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrcs_5fpos_6216',['OSCCTRL_INTENCLR_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a6777b5190c055d4589c685a6672fe9f4',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrdy_5fpos_6217',['OSCCTRL_INTENCLR_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a34cb24a7f76328d1bb22092a9d238e1a',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckf_5fpos_6218',['OSCCTRL_INTENCLR_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#aeec58398a0b8f3a65d683f1a41754ca9',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckr_5fpos_6219',['OSCCTRL_INTENCLR_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#ac19aede6406fd2f749d3570bc7a9c84e',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0ldrto_5fpos_6220',['OSCCTRL_INTENCLR_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#afcc038fd5ff3f0410e46081f764caf71',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lto_5fpos_6221',['OSCCTRL_INTENCLR_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ac5e6c94a61400538770c5b1220372e84',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckf_5fpos_6222',['OSCCTRL_INTENCLR_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#acc1a03dc449ded12c7c04ece882f168d',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckr_5fpos_6223',['OSCCTRL_INTENCLR_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a354c3795d5d51b019d89650327cd58cd',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1ldrto_5fpos_6224',['OSCCTRL_INTENCLR_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#afb9da290c0b133fc1bf6e386b0db0ff0',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lto_5fpos_6225',['OSCCTRL_INTENCLR_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a22e5d45e06513d280860be62567ec1ce',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fmask_6226',['OSCCTRL_INTENCLR_MASK',['../component_2oscctrl_8h.html#a491d06abacebcf79ae6c8ab2ce06fc03',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5foffset_6227',['OSCCTRL_INTENCLR_OFFSET',['../component_2oscctrl_8h.html#a3f1d364493d38c935e8c304effcf6801',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fresetvalue_6228',['OSCCTRL_INTENCLR_RESETVALUE',['../component_2oscctrl_8h.html#ad54389e0eae425ccea549728bfe6bd79',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5ftype_6229',['OSCCTRL_INTENCLR_Type',['../unionOSCCTRL__INTENCLR__Type.html',1,'']]],
['oscctrl_5fintenclr_5fxoscfail0_5fpos_6230',['OSCCTRL_INTENCLR_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#ad4ac60ee270bc85644b0258cbdf21db2',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail1_5fpos_6231',['OSCCTRL_INTENCLR_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#ad11a3dbaa23fd72f3f236d263cd7b1be',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail_5fpos_6232',['OSCCTRL_INTENCLR_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a391ebec0501a1af9e44d0096e2ab1d39',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy0_5fpos_6233',['OSCCTRL_INTENCLR_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a1e83a14bcf2fd2c1318d219ae498e8da',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy1_5fpos_6234',['OSCCTRL_INTENCLR_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1c8c35169fba19f6288bd1af4ff29c4b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy_5fpos_6235',['OSCCTRL_INTENCLR_XOSCRDY_Pos',['../component_2oscctrl_8h.html#ad840e74b4b8a5bce231c57763f3d6a27',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckc_5fpos_6236',['OSCCTRL_INTENSET_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a6ad90e329e97b3b3e480ef583fdf3eb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckf_5fpos_6237',['OSCCTRL_INTENSET_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#af38520e46a7d6f00aad451bc70ce0ce9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflloob_5fpos_6238',['OSCCTRL_INTENSET_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ab911e0fe7e6ea5dc65c44ae1718d7d32',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrcs_5fpos_6239',['OSCCTRL_INTENSET_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a67b99d880e5ac94cee8f4cece41756d5',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrdy_5fpos_6240',['OSCCTRL_INTENSET_DFLLRDY_Pos',['../component_2oscctrl_8h.html#aed032ff8ad78e9e4d9e0694dfbd26c38',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckf_5fpos_6241',['OSCCTRL_INTENSET_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a0c306bc4b7b53596e9f8b28f241f1738',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckr_5fpos_6242',['OSCCTRL_INTENSET_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a25324df8b7fcade4fc325aaa3a10efba',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0ldrto_5fpos_6243',['OSCCTRL_INTENSET_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a88ff4ba5e521a293381bb6a81ee96ff9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lto_5fpos_6244',['OSCCTRL_INTENSET_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#a577dbcbbc73c82bd44799a553e761631',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckf_5fpos_6245',['OSCCTRL_INTENSET_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#ac2424b835502481d298d7b45d8d191c2',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckr_5fpos_6246',['OSCCTRL_INTENSET_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a984e977ed8becf685c4ba9e54b4a88d6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1ldrto_5fpos_6247',['OSCCTRL_INTENSET_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ea2cc759e75ba1cc146354cb04ca4da',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lto_5fpos_6248',['OSCCTRL_INTENSET_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a786f9307087e16a6a3de282cdbc8580a',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fmask_6249',['OSCCTRL_INTENSET_MASK',['../component_2oscctrl_8h.html#a58580ac326b8ea2f4f59a3f12a9baae3',1,'oscctrl.h']]],
['oscctrl_5fintenset_5foffset_6250',['OSCCTRL_INTENSET_OFFSET',['../component_2oscctrl_8h.html#ac255483d2540447cf58a2ff1c9227c4c',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fresetvalue_6251',['OSCCTRL_INTENSET_RESETVALUE',['../component_2oscctrl_8h.html#a59a8baa4ba504f85756373bebf779abd',1,'oscctrl.h']]],
['oscctrl_5fintenset_5ftype_6252',['OSCCTRL_INTENSET_Type',['../unionOSCCTRL__INTENSET__Type.html',1,'']]],
['oscctrl_5fintenset_5fxoscfail0_5fpos_6253',['OSCCTRL_INTENSET_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#adedd337090ca68da118c46ff224cd67b',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail1_5fpos_6254',['OSCCTRL_INTENSET_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a5dfbec57e3a4ddf4ff16808664dce852',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail_5fpos_6255',['OSCCTRL_INTENSET_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#ac85971e558aa827cf8a346fd73da42ce',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy0_5fpos_6256',['OSCCTRL_INTENSET_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#ac39d4f23555a607bce97a892f86b4406',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy1_5fpos_6257',['OSCCTRL_INTENSET_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1706bb7612abb1b8604b528df8d74fb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy_5fpos_6258',['OSCCTRL_INTENSET_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a51f8fe67474d300dae1f69f7877fcb21',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckc_5fpos_6259',['OSCCTRL_INTFLAG_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a2a4786711d775d7a9a3c56f1fcfaea2f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckf_5fpos_6260',['OSCCTRL_INTFLAG_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#a6fbc9c37d06550c125c08973065bba1f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflloob_5fpos_6261',['OSCCTRL_INTFLAG_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ae2b4598023dd48f296dc3ed55faa93f7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrcs_5fpos_6262',['OSCCTRL_INTFLAG_DFLLRCS_Pos',['../component_2oscctrl_8h.html#aef59605a23b051cdd044b9635be34116',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrdy_5fpos_6263',['OSCCTRL_INTFLAG_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a6d6c5edd1a31c2185011f2966f4d67e7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckf_5fpos_6264',['OSCCTRL_INTFLAG_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#ad06bbc0757c0248ee56178ab6a48c28f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckr_5fpos_6265',['OSCCTRL_INTFLAG_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a3a0cc7a56afc262dd18f4b3cd2e68ff2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0ldrto_5fpos_6266',['OSCCTRL_INTFLAG_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a4829b1e7eec271be816ef664af6af236',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lto_5fpos_6267',['OSCCTRL_INTFLAG_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ae9046f4d5ef37e7cde2ca88db111963b',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckf_5fpos_6268',['OSCCTRL_INTFLAG_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#a0d2c2110aa97643a7e19b88e4b3e904d',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckr_5fpos_6269',['OSCCTRL_INTFLAG_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a108254e167a37e6e4dfb9519bc11209e',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1ldrto_5fpos_6270',['OSCCTRL_INTFLAG_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ac997517d000d7d52c080327bbc6dba',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lto_5fpos_6271',['OSCCTRL_INTFLAG_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#aaa1a96063c00c12713e8873ecd40eb3c',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fmask_6272',['OSCCTRL_INTFLAG_MASK',['../component_2oscctrl_8h.html#aedd8a9f620939d751bf1a447933cefa1',1,'oscctrl.h']]],
['oscctrl_5fintflag_5foffset_6273',['OSCCTRL_INTFLAG_OFFSET',['../component_2oscctrl_8h.html#ad4379aa6be2f266b44248cf95b73bbc2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fresetvalue_6274',['OSCCTRL_INTFLAG_RESETVALUE',['../component_2oscctrl_8h.html#af36ade32e3a82aa932c61c67dd1051d2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5ftype_6275',['OSCCTRL_INTFLAG_Type',['../unionOSCCTRL__INTFLAG__Type.html',1,'']]],
['oscctrl_5fintflag_5fxoscfail0_5fpos_6276',['OSCCTRL_INTFLAG_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a298eee00dead4a0e52d64f9c5a1efb57',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail1_5fpos_6277',['OSCCTRL_INTFLAG_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a3814eb8fda4eac82c6f3fee253642a5a',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail_5fpos_6278',['OSCCTRL_INTFLAG_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a541a47ea56a176d322064f0a04db6fa8',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy0_5fpos_6279',['OSCCTRL_INTFLAG_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a2f5f25844f47105e86c7d844372648ec',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy1_5fpos_6280',['OSCCTRL_INTFLAG_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a64a72de75c54729a2b5629e31c6fe139',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy_5fpos_6281',['OSCCTRL_INTFLAG_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a1c522599d8b45dd46183b68afb7c4179',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckc_5fpos_6282',['OSCCTRL_STATUS_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a1afa368e7e15936f20fc585a75ae2364',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckf_5fpos_6283',['OSCCTRL_STATUS_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#ab0f92bd1bac8899184a0c9e827cb893e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflloob_5fpos_6284',['OSCCTRL_STATUS_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a609a88a7dd6e51e13db39120c06e7802',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrcs_5fpos_6285',['OSCCTRL_STATUS_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a9d70ec5c52fd967af44a913183821e50',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrdy_5fpos_6286',['OSCCTRL_STATUS_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a93a88764e52b7292e9585f31459bab2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckf_5fpos_6287',['OSCCTRL_STATUS_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a42192591909d1d068452a5d5a58fd35f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckr_5fpos_6288',['OSCCTRL_STATUS_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a2e36f4769f2b83a008449bcf77301ec1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0ldrto_5fpos_6289',['OSCCTRL_STATUS_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a171a4fad2acfea2d53ba64333841c764',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0to_5fpos_6290',['OSCCTRL_STATUS_DPLL0TO_Pos',['../component_2oscctrl_8h.html#a28629f6bf7e47edc104c8077871944b2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckf_5fpos_6291',['OSCCTRL_STATUS_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#aee59dcd46d23a4cf661080d023e23d67',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckr_5fpos_6292',['OSCCTRL_STATUS_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a2f22483696b4c4d0589a2d17dab8779f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1ldrto_5fpos_6293',['OSCCTRL_STATUS_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a69fb155bb3b94f7a315d3aa75948f3f0',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1to_5fpos_6294',['OSCCTRL_STATUS_DPLL1TO_Pos',['../component_2oscctrl_8h.html#a467800779492045ae90a78e39f45ebf3',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fmask_6295',['OSCCTRL_STATUS_MASK',['../component_2oscctrl_8h.html#a9f82ecd17b8fa59428ef3e31aea63aa6',1,'oscctrl.h']]],
['oscctrl_5fstatus_5foffset_6296',['OSCCTRL_STATUS_OFFSET',['../component_2oscctrl_8h.html#ad7dd78458893930f5f6800c743f745da',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fresetvalue_6297',['OSCCTRL_STATUS_RESETVALUE',['../component_2oscctrl_8h.html#a42375dcec180c57773050c0e0cd04b2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5ftype_6298',['OSCCTRL_STATUS_Type',['../unionOSCCTRL__STATUS__Type.html',1,'']]],
['oscctrl_5fstatus_5fxosccksw0_5fpos_6299',['OSCCTRL_STATUS_XOSCCKSW0_Pos',['../component_2oscctrl_8h.html#a31651f5f133ef03501a0c19af590af91',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw1_5fpos_6300',['OSCCTRL_STATUS_XOSCCKSW1_Pos',['../component_2oscctrl_8h.html#a4a12531e0d9bf58fe06a568f7084f4de',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw_5fpos_6301',['OSCCTRL_STATUS_XOSCCKSW_Pos',['../component_2oscctrl_8h.html#a9122c98578dbba6b79b1d4e8dc0c21f1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail0_5fpos_6302',['OSCCTRL_STATUS_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a9065ab905aa937a21f1faf221841f1af',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail1_5fpos_6303',['OSCCTRL_STATUS_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a867c59248b265b1c6945fce69ec0bde1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail_5fpos_6304',['OSCCTRL_STATUS_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a6d1cd3df10786cca948c08469baca892',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy0_5fpos_6305',['OSCCTRL_STATUS_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a68f494fbbdff8221ff788900f64ce3f2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy1_5fpos_6306',['OSCCTRL_STATUS_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#ab7aa6e77ead6ec196101e548cf0cf339',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy_5fpos_6307',['OSCCTRL_STATUS_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a068335c4490f6266cd1b8ac6452c0775',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfden_5fpos_6308',['OSCCTRL_XOSCCTRL_CFDEN_Pos',['../component_2oscctrl_8h.html#a60d8c5bfc01b31ca34b5e519c831476d',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfdpresc_5fpos_6309',['OSCCTRL_XOSCCTRL_CFDPRESC_Pos',['../component_2oscctrl_8h.html#a8dc33d9d6ebbf5568858f380af797476',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenable_5fpos_6310',['OSCCTRL_XOSCCTRL_ENABLE_Pos',['../component_2oscctrl_8h.html#a55753823c79f012a07776d32fb431e50',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenalc_5fpos_6311',['OSCCTRL_XOSCCTRL_ENALC_Pos',['../component_2oscctrl_8h.html#aca0949c12da428ff0ae358a16d53a346',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fimult_5fpos_6312',['OSCCTRL_XOSCCTRL_IMULT_Pos',['../component_2oscctrl_8h.html#abd250ec9e51c26d98151644963c508e2',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fiptat_5fpos_6313',['OSCCTRL_XOSCCTRL_IPTAT_Pos',['../component_2oscctrl_8h.html#a3003e6ff068504def0961267faa43879',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5flowbufgain_5fpos_6314',['OSCCTRL_XOSCCTRL_LOWBUFGAIN_Pos',['../component_2oscctrl_8h.html#a84c7d7844390844005bc5f34bc700e59',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fmask_6315',['OSCCTRL_XOSCCTRL_MASK',['../component_2oscctrl_8h.html#a3569b3c01688e4b0d67f24f451162353',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5foffset_6316',['OSCCTRL_XOSCCTRL_OFFSET',['../component_2oscctrl_8h.html#ab61ec167eaec3b37ce0a5e09811eb519',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fondemand_5fpos_6317',['OSCCTRL_XOSCCTRL_ONDEMAND_Pos',['../component_2oscctrl_8h.html#a3d087d7558147bb7da1da3c88ea2103c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fresetvalue_6318',['OSCCTRL_XOSCCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a1e702ca999cd2ea9e938976d66e4d5e8',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5frunstdby_5fpos_6319',['OSCCTRL_XOSCCTRL_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#ae633882a1d2a6b483181e4256d074c0e',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fstartup_5fpos_6320',['OSCCTRL_XOSCCTRL_STARTUP_Pos',['../component_2oscctrl_8h.html#a4e50b7ee8f0aed0e3ffaf064b9febc35',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fswben_5fpos_6321',['OSCCTRL_XOSCCTRL_SWBEN_Pos',['../component_2oscctrl_8h.html#a3c2bdacb812dab99256add8f91dafa0c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5ftype_6322',['OSCCTRL_XOSCCTRL_Type',['../unionOSCCTRL__XOSCCTRL__Type.html',1,'']]],
['oscctrl_5fxoscctrl_5fxtalen_5fpos_6323',['OSCCTRL_XOSCCTRL_XTALEN_Pos',['../component_2oscctrl_8h.html#a46fe198075472a490bede30dd47abddd',1,'oscctrl.h']]],
['oscctrldpll_6324',['OscctrlDpll',['../structOscctrlDpll.html',1,'']]],
['osculp32k_6325',['OSCULP32K',['../structOsc32kctrl.html#a9bc8e06d5efac5d925b97fe81de80415',1,'Osc32kctrl']]],
['osr_6326',['OSR',['../unionDAC__DACCTRL__Type.html#acef7802aaf41154b469d4a206c8684c4',1,'DAC_DACCTRL_Type']]],
['othi_6327',['OTHI',['../structGmac.html#ab7f44c75f972558841c6d364bdf6258e',1,'Gmac']]],
['otlo_6328',['OTLO',['../structGmac.html#af014c3182c217db1bd9539bd0617394f',1,'Gmac']]],
['otmx_6329',['OTMX',['../unionTCC__WEXCTRL__Type.html#a919da164bd6e5d55d409760fcc1b0d38',1,'TCC_WEXCTRL_Type']]],
['out_6330',['OUT',['../unionAC__COMPCTRL__Type.html#a1b15c83fc337723c7dfada178ded5717',1,'AC_COMPCTRL_Type::OUT()'],['../unionPORT__OUT__Type.html#a1ba82b9420e6a462d0ac2b24083ebeed',1,'PORT_OUT_Type::OUT()'],['../structPortGroup.html#a865fdbe2a7cb1339868e58d9a244031c',1,'PortGroup::OUT()']]],
['outclr_6331',['OUTCLR',['../unionPORT__OUTCLR__Type.html#a6222f60d17303b0aa4dcf7b62c0e8549',1,'PORT_OUTCLR_Type::OUTCLR()'],['../structPortGroup.html#a817b54b38d64825d862ae2a5fc6386aa',1,'PortGroup::OUTCLR()']]],
['outset_6332',['OUTSET',['../unionPORT__OUTSET__Type.html#a219c6a695b96347191962a062fc7d7e1',1,'PORT_OUTSET_Type::OUTSET()'],['../structPortGroup.html#a97265e4a39bd1fc6b0f28db7cb9fa4ae',1,'PortGroup::OUTSET()']]],
['outtgl_6333',['OUTTGL',['../unionPORT__OUTTGL__Type.html#a2d20d8ebdfedd6b7f79defeec9bcc51f',1,'PORT_OUTTGL_Type::OUTTGL()'],['../structPortGroup.html#a5367798690cf523de14f6a7b16dd6765',1,'PortGroup::OUTTGL()']]],
['overrun_6334',['OVERRUN',['../unionADC__INTENCLR__Type.html#ab24494dd0de90967355e1fc26119cb8a',1,'ADC_INTENCLR_Type::OVERRUN()'],['../unionADC__INTENSET__Type.html#af4a7f1673bb8c64fe95821a3948be7f1',1,'ADC_INTENSET_Type::OVERRUN()'],['../unionADC__INTFLAG__Type.html#aab91f64470203c57f3f8f13dfd50dceb',1,'ADC_INTFLAG_Type::OVERRUN()'],['../unionDAC__INTENCLR__Type.html#ad6dbb3ca7276b7e47252ab54ec320976',1,'DAC_INTENCLR_Type::OVERRUN()'],['../unionDAC__INTENSET__Type.html#a09a0b1c2bca29cff8d9a73e599f6db25',1,'DAC_INTENSET_Type::OVERRUN()'],['../unionDAC__INTFLAG__Type.html#a48b4955ed9956d4ded5d6500419c8e25',1,'DAC_INTFLAG_Type::OVERRUN()']]],
['overrun0_6335',['OVERRUN0',['../unionDAC__INTENCLR__Type.html#ac5327f90399d8aa1e8b6ef7f5b969a80',1,'DAC_INTENCLR_Type::OVERRUN0()'],['../unionDAC__INTENSET__Type.html#a1eb4798944a0e48348a7213e6636a008',1,'DAC_INTENSET_Type::OVERRUN0()'],['../unionDAC__INTFLAG__Type.html#a8ae2012caf50a6cd17c0bbf4e0d731a4',1,'DAC_INTFLAG_Type::OVERRUN0()']]],
['overrun1_6336',['OVERRUN1',['../unionDAC__INTENCLR__Type.html#a8839bfcc54df87418e83d0ff8912c9bc',1,'DAC_INTENCLR_Type::OVERRUN1()'],['../unionDAC__INTENSET__Type.html#ada2192e243a4beb894e3bf211085f259',1,'DAC_INTENSET_Type::OVERRUN1()'],['../unionDAC__INTFLAG__Type.html#a15b6a21e7290cdc42956ddef0835955a',1,'DAC_INTFLAG_Type::OVERRUN1()']]],
['ovf_6337',['OVF',['../unionFREQM__STATUS__Type.html#ae63258f290328e0bae6355357c33eb59',1,'FREQM_STATUS_Type::OVF()'],['../unionPDEC__INTENCLR__Type.html#a2b0151e4e0f0ff7e6263f7e07e7a0cf6',1,'PDEC_INTENCLR_Type::OVF()'],['../unionPDEC__INTENSET__Type.html#a8be272a2c28d72b66ac3cf3c9065ec7f',1,'PDEC_INTENSET_Type::OVF()'],['../unionPDEC__INTFLAG__Type.html#a2a5212d8f0df4d7f4c504e6d02ffcce9',1,'PDEC_INTFLAG_Type::OVF()'],['../unionRTC__MODE0__INTENCLR__Type.html#ad693c2e982bdb9ef6265ca5b6f583166',1,'RTC_MODE0_INTENCLR_Type::OVF()'],['../unionRTC__MODE1__INTENCLR__Type.html#ae4528dfed5454e570416e7f3bf67782d',1,'RTC_MODE1_INTENCLR_Type::OVF()'],['../unionRTC__MODE2__INTENCLR__Type.html#a568222e1a036d6e8c1f451b69f75f4b2',1,'RTC_MODE2_INTENCLR_Type::OVF()'],['../unionRTC__MODE0__INTENSET__Type.html#a0dbf70ea1ff20ce2d7a41575d654c7c2',1,'RTC_MODE0_INTENSET_Type::OVF()'],['../unionRTC__MODE1__INTENSET__Type.html#ab73be77cfeb47a4d0ebc86751df01f29',1,'RTC_MODE1_INTENSET_Type::OVF()'],['../unionRTC__MODE2__INTENSET__Type.html#a5676ce8183ab31999f68777c864da647',1,'RTC_MODE2_INTENSET_Type::OVF()'],['../unionRTC__MODE0__INTFLAG__Type.html#adc065436d28ce4b56445a578483d5f13',1,'RTC_MODE0_INTFLAG_Type::OVF()'],['../unionRTC__MODE1__INTFLAG__Type.html#a64a44b01c4bb5226488c632d704b5eed',1,'RTC_MODE1_INTFLAG_Type::OVF()'],['../unionRTC__MODE2__INTFLAG__Type.html#ab94f48aa556490d22a4de7159b137dfd',1,'RTC_MODE2_INTFLAG_Type::OVF()'],['../unionTC__INTENCLR__Type.html#a36ed1651a14e40fe5f59c1065376568f',1,'TC_INTENCLR_Type::OVF()'],['../unionTC__INTENSET__Type.html#a19793cb7c01e5346737b53a0de35c5e0',1,'TC_INTENSET_Type::OVF()'],['../unionTC__INTFLAG__Type.html#a8bcae101b8827a92b11b396c36f7bddb',1,'TC_INTFLAG_Type::OVF()'],['../unionTCC__INTENCLR__Type.html#ac6a617a4402ed62ce8e251ef06f7e8a2',1,'TCC_INTENCLR_Type::OVF()'],['../unionTCC__INTENSET__Type.html#ae4b60e0704b882b2239af499d1b0e136',1,'TCC_INTENSET_Type::OVF()'],['../unionTCC__INTFLAG__Type.html#aae61d2a838cda37d1a4b9301089db92a',1,'TCC_INTFLAG_Type::OVF()']]],
['ovfeo_6338',['OVFEO',['../unionPDEC__EVCTRL__Type.html#a188110a714ac487b74e816c13a54833c',1,'PDEC_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE0__EVCTRL__Type.html#a3ae27c47a5d9d61dfe9a4a279e9f8285',1,'RTC_MODE0_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE1__EVCTRL__Type.html#af7efa9d6c8117210c6e5c52276985c25',1,'RTC_MODE1_EVCTRL_Type::OVFEO()'],['../unionRTC__MODE2__EVCTRL__Type.html#a0878f7dcea0805cb7b960fb1aff2e303',1,'RTC_MODE2_EVCTRL_Type::OVFEO()'],['../unionTC__EVCTRL__Type.html#adc66c1bf5b5db84cf26f83f4d2ed0a9a',1,'TC_EVCTRL_Type::OVFEO()'],['../unionTCC__EVCTRL__Type.html#a6b6803f8a88b1e813bdc6531ba4cc204',1,'TCC_EVCTRL_Type::OVFEO()']]],
['ovr_6339',['OVR',['../unionEVSYS__INTPEND__Type.html#ae49c1ba576b9c627f4ce347a2a192477',1,'EVSYS_INTPEND_Type::OVR()'],['../unionEVSYS__CHINTENCLR__Type.html#a8cb8552b76e461c2349479f6bcc20c39',1,'EVSYS_CHINTENCLR_Type::OVR()'],['../unionEVSYS__CHINTENSET__Type.html#a5048ab80320ecaff0e397a7422957d0a',1,'EVSYS_CHINTENSET_Type::OVR()'],['../unionEVSYS__CHINTFLAG__Type.html#a43ae1b8f54d3dc8eee12a19d63f11102',1,'EVSYS_CHINTFLAG_Type::OVR()']]],
['ovre_6340',['OVRE',['../unionPCC__IER__Type.html#a6f959e1608b95115dbd3bfc14fe1d098',1,'PCC_IER_Type::OVRE()'],['../unionPCC__IDR__Type.html#a0e37b38c257b7f8542081459f78e9ab0',1,'PCC_IDR_Type::OVRE()'],['../unionPCC__IMR__Type.html#a7e6628b541818e819edd5c3f62c12a95',1,'PCC_IMR_Type::OVRE()'],['../unionPCC__ISR__Type.html#ab0cfe5c8be2527c456aad3447b358c07',1,'PCC_ISR_Type::OVRE()']]],
['oscillators_20control_6341',['Oscillators Control',['../group__SAME54__OSCCTRL.html',1,'']]]
];

File diff suppressed because it is too large Load Diff

@ -1,164 +1,164 @@
var searchData=
[
['qcen_8507',['QCEN',['../unionSERCOM__I2CM__CTRLB__Type.html#a7c5534c3e47dd222803b1bfec6de57bd',1,'SERCOM_I2CM_CTRLB_Type']]],
['qerr_8508',['QERR',['../unionPDEC__STATUS__Type.html#a3f71f6078fec4ddb56bbd1d7d96cc293',1,'PDEC_STATUS_Type']]],
['qldis_8509',['QLDIS',['../unionOSCCTRL__DFLLCTRLB__Type.html#aa1735531bdfbb47c2e39fc015dfe8dbc',1,'OSCCTRL_DFLLCTRLB_Type']]],
['qos_8510',['QOS',['../unionCAN__MRCFG__Type.html#a810a271722b4b74e71e5d90b16965cc3',1,'CAN_MRCFG_Type::QOS()'],['../unionPICOP__QOSCTRL__Type.html#a4664e3290eab49b3a927c4dcb3edf1af',1,'PICOP_QOSCTRL_Type::QOS()']]],
['qos0_8511',['QOS0',['../unionDMAC__PRICTRL0__Type.html#ae903827f42ba386f141f162b2493d716',1,'DMAC_PRICTRL0_Type']]],
['qos1_8512',['QOS1',['../unionDMAC__PRICTRL0__Type.html#a63b6d99a02ad0ce300c72fd3aa674b1f',1,'DMAC_PRICTRL0_Type']]],
['qos2_8513',['QOS2',['../unionDMAC__PRICTRL0__Type.html#a8fa74a3adc8567e43ee22244d55c97aa',1,'DMAC_PRICTRL0_Type']]],
['qos3_8514',['QOS3',['../unionDMAC__PRICTRL0__Type.html#a2a72f9271e54fbb5c19539feeb6ed40e',1,'DMAC_PRICTRL0_Type']]],
['qosctrl_8515',['QOSCTRL',['../structPicop.html#a1546159caebf63df278d15554a0cb692',1,'Picop::QOSCTRL()'],['../structUsbDevice.html#a65d0c8916df0410f27677e97bdb3fbc3',1,'UsbDevice::QOSCTRL()'],['../structUsbHost.html#a9a8927a5b55f1b16d871a7704aa74af2',1,'UsbHost::QOSCTRL()']]],
['qspi_8516',['Qspi',['../structQspi.html',1,'Qspi'],['../same54n19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p20a.h']]],
['qspi_2eh_8517',['qspi.h',['../component_2qspi_8h.html',1,'(Global Namespace)'],['../instance_2qspi_8h.html',1,'(Global Namespace)']]],
['qspi_5f_8518',['QSPI_',['../unionMCLK__AHBMASK__Type.html#ac6a6b46eb3ac9aa05df86b656b9ed838',1,'MCLK_AHBMASK_Type::QSPI_()'],['../unionMCLK__APBCMASK__Type.html#ad0579e7b477b2ea30f060bd6f1f8950b',1,'MCLK_APBCMASK_Type::QSPI_()'],['../unionPAC__INTFLAGAHB__Type.html#addf451dda42bd9b4bd1834403fc7b063',1,'PAC_INTFLAGAHB_Type::QSPI_()'],['../unionPAC__INTFLAGC__Type.html#a296ad3b2f810fa13f696a4bd8730f4a0',1,'PAC_INTFLAGC_Type::QSPI_()'],['../unionPAC__STATUSC__Type.html#af6a6165ddbe7bdf9bd379df06a143277',1,'PAC_STATUSC_Type::QSPI_()']]],
['qspi_5f2x_5f_8519',['QSPI_2X_',['../unionMCLK__AHBMASK__Type.html#a54630d796a43eb434e78bc1d5580aa67',1,'MCLK_AHBMASK_Type']]],
['qspi_5fahb_8520',['QSPI_AHB',['../same54n19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n19a.h'],['../same54n20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n20a.h'],['../same54p19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p19a.h'],['../same54p20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p20a.h']]],
['qspi_5fbaud_5fbaud_5fpos_8521',['QSPI_BAUD_BAUD_Pos',['../component_2qspi_8h.html#a58218959c2dabde357d38efcc1b83d76',1,'qspi.h']]],
['qspi_5fbaud_5fcpha_5fpos_8522',['QSPI_BAUD_CPHA_Pos',['../component_2qspi_8h.html#a84d3cf60569cc949d27b2087ccac6760',1,'qspi.h']]],
['qspi_5fbaud_5fcpol_5fpos_8523',['QSPI_BAUD_CPOL_Pos',['../component_2qspi_8h.html#a4ad7ad10245db652c86b15531aa8b17d',1,'qspi.h']]],
['qspi_5fbaud_5fdlybs_5fpos_8524',['QSPI_BAUD_DLYBS_Pos',['../component_2qspi_8h.html#a3e441fa461541cedde2d9cebff18806f',1,'qspi.h']]],
['qspi_5fbaud_5fmask_8525',['QSPI_BAUD_MASK',['../component_2qspi_8h.html#ad1b5f1c147c5c49bdb3ee765aa452ff4',1,'qspi.h']]],
['qspi_5fbaud_5foffset_8526',['QSPI_BAUD_OFFSET',['../component_2qspi_8h.html#a91864e8b4413f0c0b3ee02bc1adad69d',1,'qspi.h']]],
['qspi_5fbaud_5fresetvalue_8527',['QSPI_BAUD_RESETVALUE',['../component_2qspi_8h.html#a3d6ec16e0db1129c9a9ba80cac0a4c9e',1,'qspi.h']]],
['qspi_5fbaud_5ftype_8528',['QSPI_BAUD_Type',['../unionQSPI__BAUD__Type.html',1,'']]],
['qspi_5fctrla_5fenable_5fpos_8529',['QSPI_CTRLA_ENABLE_Pos',['../component_2qspi_8h.html#a102905a5d5179136df4a67e14b6694b1',1,'qspi.h']]],
['qspi_5fctrla_5flastxfer_5fpos_8530',['QSPI_CTRLA_LASTXFER_Pos',['../component_2qspi_8h.html#a33e902d88b6c5b91eeacc0019d6ae36f',1,'qspi.h']]],
['qspi_5fctrla_5fmask_8531',['QSPI_CTRLA_MASK',['../component_2qspi_8h.html#ac433c9f1f4db34c9891f589daf7d3f8b',1,'qspi.h']]],
['qspi_5fctrla_5foffset_8532',['QSPI_CTRLA_OFFSET',['../component_2qspi_8h.html#a3c85ecdbf2e9a83baa5c02c477e2d219',1,'qspi.h']]],
['qspi_5fctrla_5fresetvalue_8533',['QSPI_CTRLA_RESETVALUE',['../component_2qspi_8h.html#a07465827fd18264d444500bdb55286ce',1,'qspi.h']]],
['qspi_5fctrla_5fswrst_5fpos_8534',['QSPI_CTRLA_SWRST_Pos',['../component_2qspi_8h.html#ac61b8c6799b93af4b19eb1cc8c1060ad',1,'qspi.h']]],
['qspi_5fctrla_5ftype_8535',['QSPI_CTRLA_Type',['../unionQSPI__CTRLA__Type.html',1,'']]],
['qspi_5fctrlb_5fcsmode_5flastxfer_5fval_8536',['QSPI_CTRLB_CSMODE_LASTXFER_Val',['../component_2qspi_8h.html#aea301858cab20b7bd4623300b017ea5f',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fnoreload_5fval_8537',['QSPI_CTRLB_CSMODE_NORELOAD_Val',['../component_2qspi_8h.html#ad0df34874d30309d93969a5483e3d0e5',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fpos_8538',['QSPI_CTRLB_CSMODE_Pos',['../component_2qspi_8h.html#a0f5424f9db41af3e52e84645a0873d39',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fsystematically_5fval_8539',['QSPI_CTRLB_CSMODE_SYSTEMATICALLY_Val',['../component_2qspi_8h.html#a1396d5436eea5bde0a7ebccb2c0c1e58',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f10bits_5fval_8540',['QSPI_CTRLB_DATALEN_10BITS_Val',['../component_2qspi_8h.html#a7c65a21275cd48e354f63841fcc0d686',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f11bits_5fval_8541',['QSPI_CTRLB_DATALEN_11BITS_Val',['../component_2qspi_8h.html#a0cf11534e7b532b44c2b04d8942cb9f5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f12bits_5fval_8542',['QSPI_CTRLB_DATALEN_12BITS_Val',['../component_2qspi_8h.html#a485e5774639d6c9aec32a24a3a03bef5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f13bits_5fval_8543',['QSPI_CTRLB_DATALEN_13BITS_Val',['../component_2qspi_8h.html#a1993041aeb88652286ed5a9110bc9433',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f14bits_5fval_8544',['QSPI_CTRLB_DATALEN_14BITS_Val',['../component_2qspi_8h.html#a014c5a76b1890913ca8ea610c508f0c3',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f15bits_5fval_8545',['QSPI_CTRLB_DATALEN_15BITS_Val',['../component_2qspi_8h.html#ab8603426bf9532ca41c619ac1780315f',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f16bits_5fval_8546',['QSPI_CTRLB_DATALEN_16BITS_Val',['../component_2qspi_8h.html#afebcc48c02889a870025933a4775cfb7',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f8bits_5fval_8547',['QSPI_CTRLB_DATALEN_8BITS_Val',['../component_2qspi_8h.html#ad539db686ab592cc39c9c59378b18edf',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f9bits_5fval_8548',['QSPI_CTRLB_DATALEN_9BITS_Val',['../component_2qspi_8h.html#a9aa55203ef83894f7cc3d38187b61373',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5fpos_8549',['QSPI_CTRLB_DATALEN_Pos',['../component_2qspi_8h.html#aef938bb6cc8a3c3838d48bc5d6201c6b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlybct_5fpos_8550',['QSPI_CTRLB_DLYBCT_Pos',['../component_2qspi_8h.html#a824a62193678245b9bdb100eabc7ae0b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlycs_5fpos_8551',['QSPI_CTRLB_DLYCS_Pos',['../component_2qspi_8h.html#a85d8473d6936ea535e4af9eb23b519aa',1,'qspi.h']]],
['qspi_5fctrlb_5floopen_5fpos_8552',['QSPI_CTRLB_LOOPEN_Pos',['../component_2qspi_8h.html#a14f661b36ae775aa061f37807a3aa7d7',1,'qspi.h']]],
['qspi_5fctrlb_5fmask_8553',['QSPI_CTRLB_MASK',['../component_2qspi_8h.html#a81d90a83f5b714371ebd7f2192b5a039',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fmemory_5fval_8554',['QSPI_CTRLB_MODE_MEMORY_Val',['../component_2qspi_8h.html#a5c39c83034ab25732435350864217682',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fpos_8555',['QSPI_CTRLB_MODE_Pos',['../component_2qspi_8h.html#a79698078d30d3cb7fd87b60982a61ad9',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fspi_5fval_8556',['QSPI_CTRLB_MODE_SPI_Val',['../component_2qspi_8h.html#a29d105cadb9ae4f52d8cb4ad48e6dceb',1,'qspi.h']]],
['qspi_5fctrlb_5foffset_8557',['QSPI_CTRLB_OFFSET',['../component_2qspi_8h.html#a86b6ab4b906b5c6aefa6c0ebd5d60224',1,'qspi.h']]],
['qspi_5fctrlb_5fresetvalue_8558',['QSPI_CTRLB_RESETVALUE',['../component_2qspi_8h.html#a5d56bf1cfa14015b777b28f2fa09fb1e',1,'qspi.h']]],
['qspi_5fctrlb_5fsmemreg_5fpos_8559',['QSPI_CTRLB_SMEMREG_Pos',['../component_2qspi_8h.html#a15fc44efc7860a14e05bca369ddc4410',1,'qspi.h']]],
['qspi_5fctrlb_5ftype_8560',['QSPI_CTRLB_Type',['../unionQSPI__CTRLB__Type.html',1,'']]],
['qspi_5fctrlb_5fwdrbt_5fpos_8561',['QSPI_CTRLB_WDRBT_Pos',['../component_2qspi_8h.html#a45256d78ae287f4e5fcf0a645ba4c539',1,'qspi.h']]],
['qspi_5finst_5fnum_8562',['QSPI_INST_NUM',['../same54n19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p20a.h']]],
['qspi_5finstraddr_5faddr_5fpos_8563',['QSPI_INSTRADDR_ADDR_Pos',['../component_2qspi_8h.html#ab4d2a4b67a0a69a68bfd8580f8e32ae0',1,'qspi.h']]],
['qspi_5finstraddr_5fmask_8564',['QSPI_INSTRADDR_MASK',['../component_2qspi_8h.html#af657506ed36c42c07169c45d1a81afa0',1,'qspi.h']]],
['qspi_5finstraddr_5foffset_8565',['QSPI_INSTRADDR_OFFSET',['../component_2qspi_8h.html#a53d53b732b99eb81d9fa21610fccf04f',1,'qspi.h']]],
['qspi_5finstraddr_5fresetvalue_8566',['QSPI_INSTRADDR_RESETVALUE',['../component_2qspi_8h.html#a8041535b7b3cd42eb509b610555c5d02',1,'qspi.h']]],
['qspi_5finstraddr_5ftype_8567',['QSPI_INSTRADDR_Type',['../unionQSPI__INSTRADDR__Type.html',1,'']]],
['qspi_5finstrctrl_5finstr_5fpos_8568',['QSPI_INSTRCTRL_INSTR_Pos',['../component_2qspi_8h.html#a5737034b6cd99686ed0476d8139c14f3',1,'qspi.h']]],
['qspi_5finstrctrl_5fmask_8569',['QSPI_INSTRCTRL_MASK',['../component_2qspi_8h.html#ad1e2bfa26c544c940adf6d484e4c5631',1,'qspi.h']]],
['qspi_5finstrctrl_5foffset_8570',['QSPI_INSTRCTRL_OFFSET',['../component_2qspi_8h.html#a74728d0912c0a270c705e0416893f7ce',1,'qspi.h']]],
['qspi_5finstrctrl_5foptcode_5fpos_8571',['QSPI_INSTRCTRL_OPTCODE_Pos',['../component_2qspi_8h.html#a3f78f87325cc59f720d3c5d731ae9dfd',1,'qspi.h']]],
['qspi_5finstrctrl_5fresetvalue_8572',['QSPI_INSTRCTRL_RESETVALUE',['../component_2qspi_8h.html#aa1ce0ee489840800779fa57320d9e7f0',1,'qspi.h']]],
['qspi_5finstrctrl_5ftype_8573',['QSPI_INSTRCTRL_Type',['../unionQSPI__INSTRCTRL__Type.html',1,'']]],
['qspi_5finstrframe_5faddren_5fpos_8574',['QSPI_INSTRFRAME_ADDREN_Pos',['../component_2qspi_8h.html#ac6406ae6a861ba312ba41d9431bf52a7',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f24bits_5fval_8575',['QSPI_INSTRFRAME_ADDRLEN_24BITS_Val',['../component_2qspi_8h.html#a8727889d2a96d4323b9c96d03e53e451',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f32bits_5fval_8576',['QSPI_INSTRFRAME_ADDRLEN_32BITS_Val',['../component_2qspi_8h.html#ada34328fde3d54c15380cd1c5e5ca3a9',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5fpos_8577',['QSPI_INSTRFRAME_ADDRLEN_Pos',['../component_2qspi_8h.html#a440d902fc7241e1108aeb3d50e700624',1,'qspi.h']]],
['qspi_5finstrframe_5fcrmode_5fpos_8578',['QSPI_INSTRFRAME_CRMODE_Pos',['../component_2qspi_8h.html#a476f3ff950fefce63da315c5bdf86ec5',1,'qspi.h']]],
['qspi_5finstrframe_5fdataen_5fpos_8579',['QSPI_INSTRFRAME_DATAEN_Pos',['../component_2qspi_8h.html#adee8fa29957db9951e7c5fd33a406695',1,'qspi.h']]],
['qspi_5finstrframe_5fddren_5fpos_8580',['QSPI_INSTRFRAME_DDREN_Pos',['../component_2qspi_8h.html#a26ec5331c17d1fdcb0b29af957828a14',1,'qspi.h']]],
['qspi_5finstrframe_5fdummylen_5fpos_8581',['QSPI_INSTRFRAME_DUMMYLEN_Pos',['../component_2qspi_8h.html#af0ca18bc8af0098f38b5a7f536d8cab9',1,'qspi.h']]],
['qspi_5finstrframe_5finstren_5fpos_8582',['QSPI_INSTRFRAME_INSTREN_Pos',['../component_2qspi_8h.html#a0843a1698c23e27569fb71b0b3ae02ba',1,'qspi.h']]],
['qspi_5finstrframe_5fmask_8583',['QSPI_INSTRFRAME_MASK',['../component_2qspi_8h.html#a45edf136a4e4b5219cda1aed53461ad1',1,'qspi.h']]],
['qspi_5finstrframe_5foffset_8584',['QSPI_INSTRFRAME_OFFSET',['../component_2qspi_8h.html#ab66ce90540b377e88cc87ff352c536a4',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodeen_5fpos_8585',['QSPI_INSTRFRAME_OPTCODEEN_Pos',['../component_2qspi_8h.html#af7ff4d7f4f670762eba7a59aa22e9c29',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f1bit_5fval_8586',['QSPI_INSTRFRAME_OPTCODELEN_1BIT_Val',['../component_2qspi_8h.html#a64f49c3e874ab484109880274c45d2eb',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f2bits_5fval_8587',['QSPI_INSTRFRAME_OPTCODELEN_2BITS_Val',['../component_2qspi_8h.html#acf488437ecfe7c0c0aa186c7080dda5f',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f4bits_5fval_8588',['QSPI_INSTRFRAME_OPTCODELEN_4BITS_Val',['../component_2qspi_8h.html#ac74f989f9c35449db596e47892d8ebdd',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f8bits_5fval_8589',['QSPI_INSTRFRAME_OPTCODELEN_8BITS_Val',['../component_2qspi_8h.html#aafeff47fb7739c3048d6be6f3f10d490',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5fpos_8590',['QSPI_INSTRFRAME_OPTCODELEN_Pos',['../component_2qspi_8h.html#a923187fc9942a4915faf4044178d1a05',1,'qspi.h']]],
['qspi_5finstrframe_5fresetvalue_8591',['QSPI_INSTRFRAME_RESETVALUE',['../component_2qspi_8h.html#aedcbbeea8784cb67427cb06f00b9b73e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fpos_8592',['QSPI_INSTRFRAME_TFRTYPE_Pos',['../component_2qspi_8h.html#aa72d8939be0af6821a1593d0597af785',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fread_5fval_8593',['QSPI_INSTRFRAME_TFRTYPE_READ_Val',['../component_2qspi_8h.html#ab8537a06c56a445da40d0947edba99b7',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5freadmemory_5fval_8594',['QSPI_INSTRFRAME_TFRTYPE_READMEMORY_Val',['../component_2qspi_8h.html#afe76dd530506516ca7ab6756bea3d23e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwrite_5fval_8595',['QSPI_INSTRFRAME_TFRTYPE_WRITE_Val',['../component_2qspi_8h.html#ab78f113a8fe8b4fc0fd056f2f49eeb04',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwritememory_5fval_8596',['QSPI_INSTRFRAME_TFRTYPE_WRITEMEMORY_Val',['../component_2qspi_8h.html#a836f913b830e6d77f2e89a5034a79f84',1,'qspi.h']]],
['qspi_5finstrframe_5ftype_8597',['QSPI_INSTRFRAME_Type',['../unionQSPI__INSTRFRAME__Type.html',1,'']]],
['qspi_5finstrframe_5fwidth_5fdual_5fcmd_5fval_8598',['QSPI_INSTRFRAME_WIDTH_DUAL_CMD_Val',['../component_2qspi_8h.html#a0c9c48a46e4243e666f5d2778616d5fd',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fio_5fval_8599',['QSPI_INSTRFRAME_WIDTH_DUAL_IO_Val',['../component_2qspi_8h.html#a64363c446a0322ad48abc9115f7a4073',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5foutput_5fval_8600',['QSPI_INSTRFRAME_WIDTH_DUAL_OUTPUT_Val',['../component_2qspi_8h.html#a03c466b6e763fa6d75f18b88536bcef6',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fpos_8601',['QSPI_INSTRFRAME_WIDTH_Pos',['../component_2qspi_8h.html#a5f6b8cb121eb8e171b4a864252c6e837',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fcmd_5fval_8602',['QSPI_INSTRFRAME_WIDTH_QUAD_CMD_Val',['../component_2qspi_8h.html#a4b16ae5f4120aba8080c2075c0c85107',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fio_5fval_8603',['QSPI_INSTRFRAME_WIDTH_QUAD_IO_Val',['../component_2qspi_8h.html#a8082139abf8aea1bf61d2d73417e0d63',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5foutput_5fval_8604',['QSPI_INSTRFRAME_WIDTH_QUAD_OUTPUT_Val',['../component_2qspi_8h.html#a28a462a81538156bd39098f8f1f4c908',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fsingle_5fbit_5fspi_5fval_8605',['QSPI_INSTRFRAME_WIDTH_SINGLE_BIT_SPI_Val',['../component_2qspi_8h.html#ab8b427909c7320d8ca41e0ff6034ffcf',1,'qspi.h']]],
['qspi_5finsts_8606',['QSPI_INSTS',['../same54n19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p20a.h']]],
['qspi_5fintenclr_5fcsrise_5fpos_8607',['QSPI_INTENCLR_CSRISE_Pos',['../component_2qspi_8h.html#aba20d5848fc2b9b010b84e2c28c6ca81',1,'qspi.h']]],
['qspi_5fintenclr_5fdre_5fpos_8608',['QSPI_INTENCLR_DRE_Pos',['../component_2qspi_8h.html#ac563a4fd687c21fa4afae3ef903fe85b',1,'qspi.h']]],
['qspi_5fintenclr_5ferror_5fpos_8609',['QSPI_INTENCLR_ERROR_Pos',['../component_2qspi_8h.html#a1d86a274a38b2658da8dfbc529929172',1,'qspi.h']]],
['qspi_5fintenclr_5finstrend_5fpos_8610',['QSPI_INTENCLR_INSTREND_Pos',['../component_2qspi_8h.html#a89c51cbaa84c36e63aab68f6ff9c7e8c',1,'qspi.h']]],
['qspi_5fintenclr_5fmask_8611',['QSPI_INTENCLR_MASK',['../component_2qspi_8h.html#a22f05d7b924c11eb3ff9aa1539a70e4e',1,'qspi.h']]],
['qspi_5fintenclr_5foffset_8612',['QSPI_INTENCLR_OFFSET',['../component_2qspi_8h.html#a4321f52defba88894f82de95c788061c',1,'qspi.h']]],
['qspi_5fintenclr_5fresetvalue_8613',['QSPI_INTENCLR_RESETVALUE',['../component_2qspi_8h.html#ab73ffd7857c52aaaaf917fa1d84d0328',1,'qspi.h']]],
['qspi_5fintenclr_5frxc_5fpos_8614',['QSPI_INTENCLR_RXC_Pos',['../component_2qspi_8h.html#a5b9805de8712962cc9135be72b0b5d71',1,'qspi.h']]],
['qspi_5fintenclr_5ftxc_5fpos_8615',['QSPI_INTENCLR_TXC_Pos',['../component_2qspi_8h.html#ae24c24d43074574f48a3b4d3286ff8cd',1,'qspi.h']]],
['qspi_5fintenclr_5ftype_8616',['QSPI_INTENCLR_Type',['../unionQSPI__INTENCLR__Type.html',1,'']]],
['qspi_5fintenset_5fcsrise_5fpos_8617',['QSPI_INTENSET_CSRISE_Pos',['../component_2qspi_8h.html#a7a39ce2779333876efea464eb8abd6a6',1,'qspi.h']]],
['qspi_5fintenset_5fdre_5fpos_8618',['QSPI_INTENSET_DRE_Pos',['../component_2qspi_8h.html#a1ee4feba51c2d52059d91c847816c461',1,'qspi.h']]],
['qspi_5fintenset_5ferror_5fpos_8619',['QSPI_INTENSET_ERROR_Pos',['../component_2qspi_8h.html#ae56879a2c995c8759c94236e6b225fbd',1,'qspi.h']]],
['qspi_5fintenset_5finstrend_5fpos_8620',['QSPI_INTENSET_INSTREND_Pos',['../component_2qspi_8h.html#a28af271389d49bba36a16e773053afbc',1,'qspi.h']]],
['qspi_5fintenset_5fmask_8621',['QSPI_INTENSET_MASK',['../component_2qspi_8h.html#a363a82e8a3a1262e9894d537d3269a80',1,'qspi.h']]],
['qspi_5fintenset_5foffset_8622',['QSPI_INTENSET_OFFSET',['../component_2qspi_8h.html#a2a63dd66c5cb383bb8084b64937c3558',1,'qspi.h']]],
['qspi_5fintenset_5fresetvalue_8623',['QSPI_INTENSET_RESETVALUE',['../component_2qspi_8h.html#a408d2403d145db488089cbfbb0943b2c',1,'qspi.h']]],
['qspi_5fintenset_5frxc_5fpos_8624',['QSPI_INTENSET_RXC_Pos',['../component_2qspi_8h.html#a651a6fd970141862c48f631cbe357722',1,'qspi.h']]],
['qspi_5fintenset_5ftxc_5fpos_8625',['QSPI_INTENSET_TXC_Pos',['../component_2qspi_8h.html#aa632d7c75c0c176136f760243fc92ecc',1,'qspi.h']]],
['qspi_5fintenset_5ftype_8626',['QSPI_INTENSET_Type',['../unionQSPI__INTENSET__Type.html',1,'']]],
['qspi_5fintflag_5fcsrise_5fpos_8627',['QSPI_INTFLAG_CSRISE_Pos',['../component_2qspi_8h.html#a8adf0a69720752ce53f38530e723d4f8',1,'qspi.h']]],
['qspi_5fintflag_5fdre_5fpos_8628',['QSPI_INTFLAG_DRE_Pos',['../component_2qspi_8h.html#a7a4cdfdde32baa8545e768a00980777f',1,'qspi.h']]],
['qspi_5fintflag_5ferror_5fpos_8629',['QSPI_INTFLAG_ERROR_Pos',['../component_2qspi_8h.html#a6f575f7d2c7bb689dc601da3aa22350c',1,'qspi.h']]],
['qspi_5fintflag_5finstrend_5fpos_8630',['QSPI_INTFLAG_INSTREND_Pos',['../component_2qspi_8h.html#a2630fdda56c7f0cd4c6a96c68963d933',1,'qspi.h']]],
['qspi_5fintflag_5fmask_8631',['QSPI_INTFLAG_MASK',['../component_2qspi_8h.html#ae24f836c5679c8d2b3ac47ca6834aa17',1,'qspi.h']]],
['qspi_5fintflag_5foffset_8632',['QSPI_INTFLAG_OFFSET',['../component_2qspi_8h.html#a0295b33774924d3c333739f5f9b205ff',1,'qspi.h']]],
['qspi_5fintflag_5fresetvalue_8633',['QSPI_INTFLAG_RESETVALUE',['../component_2qspi_8h.html#a405437e73598fcb9f2465bac8aeef3fe',1,'qspi.h']]],
['qspi_5fintflag_5frxc_5fpos_8634',['QSPI_INTFLAG_RXC_Pos',['../component_2qspi_8h.html#a98f549e10ec9cf4e071155feaa20b73d',1,'qspi.h']]],
['qspi_5fintflag_5ftxc_5fpos_8635',['QSPI_INTFLAG_TXC_Pos',['../component_2qspi_8h.html#aae8ba2dd8ab5ade39b7d2ea19f3ac535',1,'qspi.h']]],
['qspi_5fintflag_5ftype_8636',['QSPI_INTFLAG_Type',['../unionQSPI__INTFLAG__Type.html',1,'']]],
['qspi_5firqn_8637',['QSPI_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p20a.h']]],
['qspi_5frxdata_5fdata_5fpos_8638',['QSPI_RXDATA_DATA_Pos',['../component_2qspi_8h.html#ad47fc7a9b32733011d0cbbf53b974aeb',1,'qspi.h']]],
['qspi_5frxdata_5fmask_8639',['QSPI_RXDATA_MASK',['../component_2qspi_8h.html#a3fd4ee385b606854e969ddc1e60ad209',1,'qspi.h']]],
['qspi_5frxdata_5foffset_8640',['QSPI_RXDATA_OFFSET',['../component_2qspi_8h.html#a87be3956bd37543321c112cbb877e782',1,'qspi.h']]],
['qspi_5frxdata_5fresetvalue_8641',['QSPI_RXDATA_RESETVALUE',['../component_2qspi_8h.html#a9cd293dea7e9832403612f95104ed613',1,'qspi.h']]],
['qspi_5frxdata_5ftype_8642',['QSPI_RXDATA_Type',['../unionQSPI__RXDATA__Type.html',1,'']]],
['qspi_5fscrambctrl_5fenable_5fpos_8643',['QSPI_SCRAMBCTRL_ENABLE_Pos',['../component_2qspi_8h.html#a2f232227955f50262bddc1e61a89ff84',1,'qspi.h']]],
['qspi_5fscrambctrl_5fmask_8644',['QSPI_SCRAMBCTRL_MASK',['../component_2qspi_8h.html#ad22d15dfb114dc6a1a1ed5fd883336db',1,'qspi.h']]],
['qspi_5fscrambctrl_5foffset_8645',['QSPI_SCRAMBCTRL_OFFSET',['../component_2qspi_8h.html#a9f7acff6060bd153c03ee375a416abc1',1,'qspi.h']]],
['qspi_5fscrambctrl_5frandomdis_5fpos_8646',['QSPI_SCRAMBCTRL_RANDOMDIS_Pos',['../component_2qspi_8h.html#ae0be1ea93dedc3ea78044e7689027215',1,'qspi.h']]],
['qspi_5fscrambctrl_5fresetvalue_8647',['QSPI_SCRAMBCTRL_RESETVALUE',['../component_2qspi_8h.html#a6da38b6cbd11e1d96dc9ad1e2222b8bd',1,'qspi.h']]],
['qspi_5fscrambctrl_5ftype_8648',['QSPI_SCRAMBCTRL_Type',['../unionQSPI__SCRAMBCTRL__Type.html',1,'']]],
['qspi_5fscrambkey_5fkey_5fpos_8649',['QSPI_SCRAMBKEY_KEY_Pos',['../component_2qspi_8h.html#a2b83fe892cc0b989a9b1790ba647eff9',1,'qspi.h']]],
['qspi_5fscrambkey_5fmask_8650',['QSPI_SCRAMBKEY_MASK',['../component_2qspi_8h.html#af89ee04ceeef535fbe3d6167c7ae040a',1,'qspi.h']]],
['qspi_5fscrambkey_5foffset_8651',['QSPI_SCRAMBKEY_OFFSET',['../component_2qspi_8h.html#a8b2884969f43bf3ab836cc58f80c6f60',1,'qspi.h']]],
['qspi_5fscrambkey_5fresetvalue_8652',['QSPI_SCRAMBKEY_RESETVALUE',['../component_2qspi_8h.html#ab7bf6ac11510711a9128d215c055bc53',1,'qspi.h']]],
['qspi_5fscrambkey_5ftype_8653',['QSPI_SCRAMBKEY_Type',['../unionQSPI__SCRAMBKEY__Type.html',1,'']]],
['qspi_5fstatus_5fcsstatus_5fpos_8654',['QSPI_STATUS_CSSTATUS_Pos',['../component_2qspi_8h.html#a1c5b8b83243125a3d95ef7cf08405528',1,'qspi.h']]],
['qspi_5fstatus_5fenable_5fpos_8655',['QSPI_STATUS_ENABLE_Pos',['../component_2qspi_8h.html#aaa88fd251eb3cf0fb4d9654ee7e3d0b1',1,'qspi.h']]],
['qspi_5fstatus_5fmask_8656',['QSPI_STATUS_MASK',['../component_2qspi_8h.html#a2e8ceb017e15659067e5a0290bc3aae0',1,'qspi.h']]],
['qspi_5fstatus_5foffset_8657',['QSPI_STATUS_OFFSET',['../component_2qspi_8h.html#a7e6800de30b18ee1c16a17e8c3683b7d',1,'qspi.h']]],
['qspi_5fstatus_5fresetvalue_8658',['QSPI_STATUS_RESETVALUE',['../component_2qspi_8h.html#af605ee4eedd56fe75b161ca047fe240f',1,'qspi.h']]],
['qspi_5fstatus_5ftype_8659',['QSPI_STATUS_Type',['../unionQSPI__STATUS__Type.html',1,'']]],
['qspi_5ftxdata_5fdata_5fpos_8660',['QSPI_TXDATA_DATA_Pos',['../component_2qspi_8h.html#aebe5e7d967560044c44c7c65b4420e69',1,'qspi.h']]],
['qspi_5ftxdata_5fmask_8661',['QSPI_TXDATA_MASK',['../component_2qspi_8h.html#a1da1b467d64f72334eb2797919ceec36',1,'qspi.h']]],
['qspi_5ftxdata_5foffset_8662',['QSPI_TXDATA_OFFSET',['../component_2qspi_8h.html#a0ca429506a8e6b03fb928b3a26c20bfc',1,'qspi.h']]],
['qspi_5ftxdata_5fresetvalue_8663',['QSPI_TXDATA_RESETVALUE',['../component_2qspi_8h.html#a3477e070bac8c154a985baae65233b38',1,'qspi.h']]],
['qspi_5ftxdata_5ftype_8664',['QSPI_TXDATA_Type',['../unionQSPI__TXDATA__Type.html',1,'']]],
['qual_8665',['QUAL',['../unionTCC__FCTRLA__Type.html#ab09889ca29f89f22419e7b9e354a45f7',1,'TCC_FCTRLA_Type::QUAL()'],['../unionTCC__FCTRLB__Type.html#abc657b37bd5a1f2fe31c56c5117ccf82',1,'TCC_FCTRLB_Type::QUAL()']]],
['quadrature_20decodeur_8666',['Quadrature Decodeur',['../group__SAME54__PDEC.html',1,'']]],
['quad_20spi_20interface_8667',['Quad SPI interface',['../group__SAME54__QSPI.html',1,'']]]
['qcen_8542',['QCEN',['../unionSERCOM__I2CM__CTRLB__Type.html#a7c5534c3e47dd222803b1bfec6de57bd',1,'SERCOM_I2CM_CTRLB_Type']]],
['qerr_8543',['QERR',['../unionPDEC__STATUS__Type.html#a3f71f6078fec4ddb56bbd1d7d96cc293',1,'PDEC_STATUS_Type']]],
['qldis_8544',['QLDIS',['../unionOSCCTRL__DFLLCTRLB__Type.html#aa1735531bdfbb47c2e39fc015dfe8dbc',1,'OSCCTRL_DFLLCTRLB_Type']]],
['qos_8545',['QOS',['../unionCAN__MRCFG__Type.html#a810a271722b4b74e71e5d90b16965cc3',1,'CAN_MRCFG_Type::QOS()'],['../unionPICOP__QOSCTRL__Type.html#a4664e3290eab49b3a927c4dcb3edf1af',1,'PICOP_QOSCTRL_Type::QOS()']]],
['qos0_8546',['QOS0',['../unionDMAC__PRICTRL0__Type.html#ae903827f42ba386f141f162b2493d716',1,'DMAC_PRICTRL0_Type']]],
['qos1_8547',['QOS1',['../unionDMAC__PRICTRL0__Type.html#a63b6d99a02ad0ce300c72fd3aa674b1f',1,'DMAC_PRICTRL0_Type']]],
['qos2_8548',['QOS2',['../unionDMAC__PRICTRL0__Type.html#a8fa74a3adc8567e43ee22244d55c97aa',1,'DMAC_PRICTRL0_Type']]],
['qos3_8549',['QOS3',['../unionDMAC__PRICTRL0__Type.html#a2a72f9271e54fbb5c19539feeb6ed40e',1,'DMAC_PRICTRL0_Type']]],
['qosctrl_8550',['QOSCTRL',['../structPicop.html#a1546159caebf63df278d15554a0cb692',1,'Picop::QOSCTRL()'],['../structUsbDevice.html#a65d0c8916df0410f27677e97bdb3fbc3',1,'UsbDevice::QOSCTRL()'],['../structUsbHost.html#a9a8927a5b55f1b16d871a7704aa74af2',1,'UsbHost::QOSCTRL()']]],
['qspi_8551',['Qspi',['../structQspi.html',1,'Qspi'],['../same54n19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p20a.h']]],
['qspi_2eh_8552',['qspi.h',['../component_2qspi_8h.html',1,'(Global Namespace)'],['../instance_2qspi_8h.html',1,'(Global Namespace)']]],
['qspi_5f_8553',['QSPI_',['../unionMCLK__AHBMASK__Type.html#ac6a6b46eb3ac9aa05df86b656b9ed838',1,'MCLK_AHBMASK_Type::QSPI_()'],['../unionMCLK__APBCMASK__Type.html#ad0579e7b477b2ea30f060bd6f1f8950b',1,'MCLK_APBCMASK_Type::QSPI_()'],['../unionPAC__INTFLAGAHB__Type.html#addf451dda42bd9b4bd1834403fc7b063',1,'PAC_INTFLAGAHB_Type::QSPI_()'],['../unionPAC__INTFLAGC__Type.html#a296ad3b2f810fa13f696a4bd8730f4a0',1,'PAC_INTFLAGC_Type::QSPI_()'],['../unionPAC__STATUSC__Type.html#af6a6165ddbe7bdf9bd379df06a143277',1,'PAC_STATUSC_Type::QSPI_()']]],
['qspi_5f2x_5f_8554',['QSPI_2X_',['../unionMCLK__AHBMASK__Type.html#a54630d796a43eb434e78bc1d5580aa67',1,'MCLK_AHBMASK_Type']]],
['qspi_5fahb_8555',['QSPI_AHB',['../same54n19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n19a.h'],['../same54n20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n20a.h'],['../same54p19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p19a.h'],['../same54p20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p20a.h']]],
['qspi_5fbaud_5fbaud_5fpos_8556',['QSPI_BAUD_BAUD_Pos',['../component_2qspi_8h.html#a58218959c2dabde357d38efcc1b83d76',1,'qspi.h']]],
['qspi_5fbaud_5fcpha_5fpos_8557',['QSPI_BAUD_CPHA_Pos',['../component_2qspi_8h.html#a84d3cf60569cc949d27b2087ccac6760',1,'qspi.h']]],
['qspi_5fbaud_5fcpol_5fpos_8558',['QSPI_BAUD_CPOL_Pos',['../component_2qspi_8h.html#a4ad7ad10245db652c86b15531aa8b17d',1,'qspi.h']]],
['qspi_5fbaud_5fdlybs_5fpos_8559',['QSPI_BAUD_DLYBS_Pos',['../component_2qspi_8h.html#a3e441fa461541cedde2d9cebff18806f',1,'qspi.h']]],
['qspi_5fbaud_5fmask_8560',['QSPI_BAUD_MASK',['../component_2qspi_8h.html#ad1b5f1c147c5c49bdb3ee765aa452ff4',1,'qspi.h']]],
['qspi_5fbaud_5foffset_8561',['QSPI_BAUD_OFFSET',['../component_2qspi_8h.html#a91864e8b4413f0c0b3ee02bc1adad69d',1,'qspi.h']]],
['qspi_5fbaud_5fresetvalue_8562',['QSPI_BAUD_RESETVALUE',['../component_2qspi_8h.html#a3d6ec16e0db1129c9a9ba80cac0a4c9e',1,'qspi.h']]],
['qspi_5fbaud_5ftype_8563',['QSPI_BAUD_Type',['../unionQSPI__BAUD__Type.html',1,'']]],
['qspi_5fctrla_5fenable_5fpos_8564',['QSPI_CTRLA_ENABLE_Pos',['../component_2qspi_8h.html#a102905a5d5179136df4a67e14b6694b1',1,'qspi.h']]],
['qspi_5fctrla_5flastxfer_5fpos_8565',['QSPI_CTRLA_LASTXFER_Pos',['../component_2qspi_8h.html#a33e902d88b6c5b91eeacc0019d6ae36f',1,'qspi.h']]],
['qspi_5fctrla_5fmask_8566',['QSPI_CTRLA_MASK',['../component_2qspi_8h.html#ac433c9f1f4db34c9891f589daf7d3f8b',1,'qspi.h']]],
['qspi_5fctrla_5foffset_8567',['QSPI_CTRLA_OFFSET',['../component_2qspi_8h.html#a3c85ecdbf2e9a83baa5c02c477e2d219',1,'qspi.h']]],
['qspi_5fctrla_5fresetvalue_8568',['QSPI_CTRLA_RESETVALUE',['../component_2qspi_8h.html#a07465827fd18264d444500bdb55286ce',1,'qspi.h']]],
['qspi_5fctrla_5fswrst_5fpos_8569',['QSPI_CTRLA_SWRST_Pos',['../component_2qspi_8h.html#ac61b8c6799b93af4b19eb1cc8c1060ad',1,'qspi.h']]],
['qspi_5fctrla_5ftype_8570',['QSPI_CTRLA_Type',['../unionQSPI__CTRLA__Type.html',1,'']]],
['qspi_5fctrlb_5fcsmode_5flastxfer_5fval_8571',['QSPI_CTRLB_CSMODE_LASTXFER_Val',['../component_2qspi_8h.html#aea301858cab20b7bd4623300b017ea5f',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fnoreload_5fval_8572',['QSPI_CTRLB_CSMODE_NORELOAD_Val',['../component_2qspi_8h.html#ad0df34874d30309d93969a5483e3d0e5',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fpos_8573',['QSPI_CTRLB_CSMODE_Pos',['../component_2qspi_8h.html#a0f5424f9db41af3e52e84645a0873d39',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fsystematically_5fval_8574',['QSPI_CTRLB_CSMODE_SYSTEMATICALLY_Val',['../component_2qspi_8h.html#a1396d5436eea5bde0a7ebccb2c0c1e58',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f10bits_5fval_8575',['QSPI_CTRLB_DATALEN_10BITS_Val',['../component_2qspi_8h.html#a7c65a21275cd48e354f63841fcc0d686',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f11bits_5fval_8576',['QSPI_CTRLB_DATALEN_11BITS_Val',['../component_2qspi_8h.html#a0cf11534e7b532b44c2b04d8942cb9f5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f12bits_5fval_8577',['QSPI_CTRLB_DATALEN_12BITS_Val',['../component_2qspi_8h.html#a485e5774639d6c9aec32a24a3a03bef5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f13bits_5fval_8578',['QSPI_CTRLB_DATALEN_13BITS_Val',['../component_2qspi_8h.html#a1993041aeb88652286ed5a9110bc9433',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f14bits_5fval_8579',['QSPI_CTRLB_DATALEN_14BITS_Val',['../component_2qspi_8h.html#a014c5a76b1890913ca8ea610c508f0c3',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f15bits_5fval_8580',['QSPI_CTRLB_DATALEN_15BITS_Val',['../component_2qspi_8h.html#ab8603426bf9532ca41c619ac1780315f',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f16bits_5fval_8581',['QSPI_CTRLB_DATALEN_16BITS_Val',['../component_2qspi_8h.html#afebcc48c02889a870025933a4775cfb7',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f8bits_5fval_8582',['QSPI_CTRLB_DATALEN_8BITS_Val',['../component_2qspi_8h.html#ad539db686ab592cc39c9c59378b18edf',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f9bits_5fval_8583',['QSPI_CTRLB_DATALEN_9BITS_Val',['../component_2qspi_8h.html#a9aa55203ef83894f7cc3d38187b61373',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5fpos_8584',['QSPI_CTRLB_DATALEN_Pos',['../component_2qspi_8h.html#aef938bb6cc8a3c3838d48bc5d6201c6b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlybct_5fpos_8585',['QSPI_CTRLB_DLYBCT_Pos',['../component_2qspi_8h.html#a824a62193678245b9bdb100eabc7ae0b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlycs_5fpos_8586',['QSPI_CTRLB_DLYCS_Pos',['../component_2qspi_8h.html#a85d8473d6936ea535e4af9eb23b519aa',1,'qspi.h']]],
['qspi_5fctrlb_5floopen_5fpos_8587',['QSPI_CTRLB_LOOPEN_Pos',['../component_2qspi_8h.html#a14f661b36ae775aa061f37807a3aa7d7',1,'qspi.h']]],
['qspi_5fctrlb_5fmask_8588',['QSPI_CTRLB_MASK',['../component_2qspi_8h.html#a81d90a83f5b714371ebd7f2192b5a039',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fmemory_5fval_8589',['QSPI_CTRLB_MODE_MEMORY_Val',['../component_2qspi_8h.html#a5c39c83034ab25732435350864217682',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fpos_8590',['QSPI_CTRLB_MODE_Pos',['../component_2qspi_8h.html#a79698078d30d3cb7fd87b60982a61ad9',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fspi_5fval_8591',['QSPI_CTRLB_MODE_SPI_Val',['../component_2qspi_8h.html#a29d105cadb9ae4f52d8cb4ad48e6dceb',1,'qspi.h']]],
['qspi_5fctrlb_5foffset_8592',['QSPI_CTRLB_OFFSET',['../component_2qspi_8h.html#a86b6ab4b906b5c6aefa6c0ebd5d60224',1,'qspi.h']]],
['qspi_5fctrlb_5fresetvalue_8593',['QSPI_CTRLB_RESETVALUE',['../component_2qspi_8h.html#a5d56bf1cfa14015b777b28f2fa09fb1e',1,'qspi.h']]],
['qspi_5fctrlb_5fsmemreg_5fpos_8594',['QSPI_CTRLB_SMEMREG_Pos',['../component_2qspi_8h.html#a15fc44efc7860a14e05bca369ddc4410',1,'qspi.h']]],
['qspi_5fctrlb_5ftype_8595',['QSPI_CTRLB_Type',['../unionQSPI__CTRLB__Type.html',1,'']]],
['qspi_5fctrlb_5fwdrbt_5fpos_8596',['QSPI_CTRLB_WDRBT_Pos',['../component_2qspi_8h.html#a45256d78ae287f4e5fcf0a645ba4c539',1,'qspi.h']]],
['qspi_5finst_5fnum_8597',['QSPI_INST_NUM',['../same54n19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p20a.h']]],
['qspi_5finstraddr_5faddr_5fpos_8598',['QSPI_INSTRADDR_ADDR_Pos',['../component_2qspi_8h.html#ab4d2a4b67a0a69a68bfd8580f8e32ae0',1,'qspi.h']]],
['qspi_5finstraddr_5fmask_8599',['QSPI_INSTRADDR_MASK',['../component_2qspi_8h.html#af657506ed36c42c07169c45d1a81afa0',1,'qspi.h']]],
['qspi_5finstraddr_5foffset_8600',['QSPI_INSTRADDR_OFFSET',['../component_2qspi_8h.html#a53d53b732b99eb81d9fa21610fccf04f',1,'qspi.h']]],
['qspi_5finstraddr_5fresetvalue_8601',['QSPI_INSTRADDR_RESETVALUE',['../component_2qspi_8h.html#a8041535b7b3cd42eb509b610555c5d02',1,'qspi.h']]],
['qspi_5finstraddr_5ftype_8602',['QSPI_INSTRADDR_Type',['../unionQSPI__INSTRADDR__Type.html',1,'']]],
['qspi_5finstrctrl_5finstr_5fpos_8603',['QSPI_INSTRCTRL_INSTR_Pos',['../component_2qspi_8h.html#a5737034b6cd99686ed0476d8139c14f3',1,'qspi.h']]],
['qspi_5finstrctrl_5fmask_8604',['QSPI_INSTRCTRL_MASK',['../component_2qspi_8h.html#ad1e2bfa26c544c940adf6d484e4c5631',1,'qspi.h']]],
['qspi_5finstrctrl_5foffset_8605',['QSPI_INSTRCTRL_OFFSET',['../component_2qspi_8h.html#a74728d0912c0a270c705e0416893f7ce',1,'qspi.h']]],
['qspi_5finstrctrl_5foptcode_5fpos_8606',['QSPI_INSTRCTRL_OPTCODE_Pos',['../component_2qspi_8h.html#a3f78f87325cc59f720d3c5d731ae9dfd',1,'qspi.h']]],
['qspi_5finstrctrl_5fresetvalue_8607',['QSPI_INSTRCTRL_RESETVALUE',['../component_2qspi_8h.html#aa1ce0ee489840800779fa57320d9e7f0',1,'qspi.h']]],
['qspi_5finstrctrl_5ftype_8608',['QSPI_INSTRCTRL_Type',['../unionQSPI__INSTRCTRL__Type.html',1,'']]],
['qspi_5finstrframe_5faddren_5fpos_8609',['QSPI_INSTRFRAME_ADDREN_Pos',['../component_2qspi_8h.html#ac6406ae6a861ba312ba41d9431bf52a7',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f24bits_5fval_8610',['QSPI_INSTRFRAME_ADDRLEN_24BITS_Val',['../component_2qspi_8h.html#a8727889d2a96d4323b9c96d03e53e451',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f32bits_5fval_8611',['QSPI_INSTRFRAME_ADDRLEN_32BITS_Val',['../component_2qspi_8h.html#ada34328fde3d54c15380cd1c5e5ca3a9',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5fpos_8612',['QSPI_INSTRFRAME_ADDRLEN_Pos',['../component_2qspi_8h.html#a440d902fc7241e1108aeb3d50e700624',1,'qspi.h']]],
['qspi_5finstrframe_5fcrmode_5fpos_8613',['QSPI_INSTRFRAME_CRMODE_Pos',['../component_2qspi_8h.html#a476f3ff950fefce63da315c5bdf86ec5',1,'qspi.h']]],
['qspi_5finstrframe_5fdataen_5fpos_8614',['QSPI_INSTRFRAME_DATAEN_Pos',['../component_2qspi_8h.html#adee8fa29957db9951e7c5fd33a406695',1,'qspi.h']]],
['qspi_5finstrframe_5fddren_5fpos_8615',['QSPI_INSTRFRAME_DDREN_Pos',['../component_2qspi_8h.html#a26ec5331c17d1fdcb0b29af957828a14',1,'qspi.h']]],
['qspi_5finstrframe_5fdummylen_5fpos_8616',['QSPI_INSTRFRAME_DUMMYLEN_Pos',['../component_2qspi_8h.html#af0ca18bc8af0098f38b5a7f536d8cab9',1,'qspi.h']]],
['qspi_5finstrframe_5finstren_5fpos_8617',['QSPI_INSTRFRAME_INSTREN_Pos',['../component_2qspi_8h.html#a0843a1698c23e27569fb71b0b3ae02ba',1,'qspi.h']]],
['qspi_5finstrframe_5fmask_8618',['QSPI_INSTRFRAME_MASK',['../component_2qspi_8h.html#a45edf136a4e4b5219cda1aed53461ad1',1,'qspi.h']]],
['qspi_5finstrframe_5foffset_8619',['QSPI_INSTRFRAME_OFFSET',['../component_2qspi_8h.html#ab66ce90540b377e88cc87ff352c536a4',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodeen_5fpos_8620',['QSPI_INSTRFRAME_OPTCODEEN_Pos',['../component_2qspi_8h.html#af7ff4d7f4f670762eba7a59aa22e9c29',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f1bit_5fval_8621',['QSPI_INSTRFRAME_OPTCODELEN_1BIT_Val',['../component_2qspi_8h.html#a64f49c3e874ab484109880274c45d2eb',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f2bits_5fval_8622',['QSPI_INSTRFRAME_OPTCODELEN_2BITS_Val',['../component_2qspi_8h.html#acf488437ecfe7c0c0aa186c7080dda5f',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f4bits_5fval_8623',['QSPI_INSTRFRAME_OPTCODELEN_4BITS_Val',['../component_2qspi_8h.html#ac74f989f9c35449db596e47892d8ebdd',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f8bits_5fval_8624',['QSPI_INSTRFRAME_OPTCODELEN_8BITS_Val',['../component_2qspi_8h.html#aafeff47fb7739c3048d6be6f3f10d490',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5fpos_8625',['QSPI_INSTRFRAME_OPTCODELEN_Pos',['../component_2qspi_8h.html#a923187fc9942a4915faf4044178d1a05',1,'qspi.h']]],
['qspi_5finstrframe_5fresetvalue_8626',['QSPI_INSTRFRAME_RESETVALUE',['../component_2qspi_8h.html#aedcbbeea8784cb67427cb06f00b9b73e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fpos_8627',['QSPI_INSTRFRAME_TFRTYPE_Pos',['../component_2qspi_8h.html#aa72d8939be0af6821a1593d0597af785',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fread_5fval_8628',['QSPI_INSTRFRAME_TFRTYPE_READ_Val',['../component_2qspi_8h.html#ab8537a06c56a445da40d0947edba99b7',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5freadmemory_5fval_8629',['QSPI_INSTRFRAME_TFRTYPE_READMEMORY_Val',['../component_2qspi_8h.html#afe76dd530506516ca7ab6756bea3d23e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwrite_5fval_8630',['QSPI_INSTRFRAME_TFRTYPE_WRITE_Val',['../component_2qspi_8h.html#ab78f113a8fe8b4fc0fd056f2f49eeb04',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwritememory_5fval_8631',['QSPI_INSTRFRAME_TFRTYPE_WRITEMEMORY_Val',['../component_2qspi_8h.html#a836f913b830e6d77f2e89a5034a79f84',1,'qspi.h']]],
['qspi_5finstrframe_5ftype_8632',['QSPI_INSTRFRAME_Type',['../unionQSPI__INSTRFRAME__Type.html',1,'']]],
['qspi_5finstrframe_5fwidth_5fdual_5fcmd_5fval_8633',['QSPI_INSTRFRAME_WIDTH_DUAL_CMD_Val',['../component_2qspi_8h.html#a0c9c48a46e4243e666f5d2778616d5fd',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fio_5fval_8634',['QSPI_INSTRFRAME_WIDTH_DUAL_IO_Val',['../component_2qspi_8h.html#a64363c446a0322ad48abc9115f7a4073',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5foutput_5fval_8635',['QSPI_INSTRFRAME_WIDTH_DUAL_OUTPUT_Val',['../component_2qspi_8h.html#a03c466b6e763fa6d75f18b88536bcef6',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fpos_8636',['QSPI_INSTRFRAME_WIDTH_Pos',['../component_2qspi_8h.html#a5f6b8cb121eb8e171b4a864252c6e837',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fcmd_5fval_8637',['QSPI_INSTRFRAME_WIDTH_QUAD_CMD_Val',['../component_2qspi_8h.html#a4b16ae5f4120aba8080c2075c0c85107',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fio_5fval_8638',['QSPI_INSTRFRAME_WIDTH_QUAD_IO_Val',['../component_2qspi_8h.html#a8082139abf8aea1bf61d2d73417e0d63',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5foutput_5fval_8639',['QSPI_INSTRFRAME_WIDTH_QUAD_OUTPUT_Val',['../component_2qspi_8h.html#a28a462a81538156bd39098f8f1f4c908',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fsingle_5fbit_5fspi_5fval_8640',['QSPI_INSTRFRAME_WIDTH_SINGLE_BIT_SPI_Val',['../component_2qspi_8h.html#ab8b427909c7320d8ca41e0ff6034ffcf',1,'qspi.h']]],
['qspi_5finsts_8641',['QSPI_INSTS',['../same54n19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p20a.h']]],
['qspi_5fintenclr_5fcsrise_5fpos_8642',['QSPI_INTENCLR_CSRISE_Pos',['../component_2qspi_8h.html#aba20d5848fc2b9b010b84e2c28c6ca81',1,'qspi.h']]],
['qspi_5fintenclr_5fdre_5fpos_8643',['QSPI_INTENCLR_DRE_Pos',['../component_2qspi_8h.html#ac563a4fd687c21fa4afae3ef903fe85b',1,'qspi.h']]],
['qspi_5fintenclr_5ferror_5fpos_8644',['QSPI_INTENCLR_ERROR_Pos',['../component_2qspi_8h.html#a1d86a274a38b2658da8dfbc529929172',1,'qspi.h']]],
['qspi_5fintenclr_5finstrend_5fpos_8645',['QSPI_INTENCLR_INSTREND_Pos',['../component_2qspi_8h.html#a89c51cbaa84c36e63aab68f6ff9c7e8c',1,'qspi.h']]],
['qspi_5fintenclr_5fmask_8646',['QSPI_INTENCLR_MASK',['../component_2qspi_8h.html#a22f05d7b924c11eb3ff9aa1539a70e4e',1,'qspi.h']]],
['qspi_5fintenclr_5foffset_8647',['QSPI_INTENCLR_OFFSET',['../component_2qspi_8h.html#a4321f52defba88894f82de95c788061c',1,'qspi.h']]],
['qspi_5fintenclr_5fresetvalue_8648',['QSPI_INTENCLR_RESETVALUE',['../component_2qspi_8h.html#ab73ffd7857c52aaaaf917fa1d84d0328',1,'qspi.h']]],
['qspi_5fintenclr_5frxc_5fpos_8649',['QSPI_INTENCLR_RXC_Pos',['../component_2qspi_8h.html#a5b9805de8712962cc9135be72b0b5d71',1,'qspi.h']]],
['qspi_5fintenclr_5ftxc_5fpos_8650',['QSPI_INTENCLR_TXC_Pos',['../component_2qspi_8h.html#ae24c24d43074574f48a3b4d3286ff8cd',1,'qspi.h']]],
['qspi_5fintenclr_5ftype_8651',['QSPI_INTENCLR_Type',['../unionQSPI__INTENCLR__Type.html',1,'']]],
['qspi_5fintenset_5fcsrise_5fpos_8652',['QSPI_INTENSET_CSRISE_Pos',['../component_2qspi_8h.html#a7a39ce2779333876efea464eb8abd6a6',1,'qspi.h']]],
['qspi_5fintenset_5fdre_5fpos_8653',['QSPI_INTENSET_DRE_Pos',['../component_2qspi_8h.html#a1ee4feba51c2d52059d91c847816c461',1,'qspi.h']]],
['qspi_5fintenset_5ferror_5fpos_8654',['QSPI_INTENSET_ERROR_Pos',['../component_2qspi_8h.html#ae56879a2c995c8759c94236e6b225fbd',1,'qspi.h']]],
['qspi_5fintenset_5finstrend_5fpos_8655',['QSPI_INTENSET_INSTREND_Pos',['../component_2qspi_8h.html#a28af271389d49bba36a16e773053afbc',1,'qspi.h']]],
['qspi_5fintenset_5fmask_8656',['QSPI_INTENSET_MASK',['../component_2qspi_8h.html#a363a82e8a3a1262e9894d537d3269a80',1,'qspi.h']]],
['qspi_5fintenset_5foffset_8657',['QSPI_INTENSET_OFFSET',['../component_2qspi_8h.html#a2a63dd66c5cb383bb8084b64937c3558',1,'qspi.h']]],
['qspi_5fintenset_5fresetvalue_8658',['QSPI_INTENSET_RESETVALUE',['../component_2qspi_8h.html#a408d2403d145db488089cbfbb0943b2c',1,'qspi.h']]],
['qspi_5fintenset_5frxc_5fpos_8659',['QSPI_INTENSET_RXC_Pos',['../component_2qspi_8h.html#a651a6fd970141862c48f631cbe357722',1,'qspi.h']]],
['qspi_5fintenset_5ftxc_5fpos_8660',['QSPI_INTENSET_TXC_Pos',['../component_2qspi_8h.html#aa632d7c75c0c176136f760243fc92ecc',1,'qspi.h']]],
['qspi_5fintenset_5ftype_8661',['QSPI_INTENSET_Type',['../unionQSPI__INTENSET__Type.html',1,'']]],
['qspi_5fintflag_5fcsrise_5fpos_8662',['QSPI_INTFLAG_CSRISE_Pos',['../component_2qspi_8h.html#a8adf0a69720752ce53f38530e723d4f8',1,'qspi.h']]],
['qspi_5fintflag_5fdre_5fpos_8663',['QSPI_INTFLAG_DRE_Pos',['../component_2qspi_8h.html#a7a4cdfdde32baa8545e768a00980777f',1,'qspi.h']]],
['qspi_5fintflag_5ferror_5fpos_8664',['QSPI_INTFLAG_ERROR_Pos',['../component_2qspi_8h.html#a6f575f7d2c7bb689dc601da3aa22350c',1,'qspi.h']]],
['qspi_5fintflag_5finstrend_5fpos_8665',['QSPI_INTFLAG_INSTREND_Pos',['../component_2qspi_8h.html#a2630fdda56c7f0cd4c6a96c68963d933',1,'qspi.h']]],
['qspi_5fintflag_5fmask_8666',['QSPI_INTFLAG_MASK',['../component_2qspi_8h.html#ae24f836c5679c8d2b3ac47ca6834aa17',1,'qspi.h']]],
['qspi_5fintflag_5foffset_8667',['QSPI_INTFLAG_OFFSET',['../component_2qspi_8h.html#a0295b33774924d3c333739f5f9b205ff',1,'qspi.h']]],
['qspi_5fintflag_5fresetvalue_8668',['QSPI_INTFLAG_RESETVALUE',['../component_2qspi_8h.html#a405437e73598fcb9f2465bac8aeef3fe',1,'qspi.h']]],
['qspi_5fintflag_5frxc_5fpos_8669',['QSPI_INTFLAG_RXC_Pos',['../component_2qspi_8h.html#a98f549e10ec9cf4e071155feaa20b73d',1,'qspi.h']]],
['qspi_5fintflag_5ftxc_5fpos_8670',['QSPI_INTFLAG_TXC_Pos',['../component_2qspi_8h.html#aae8ba2dd8ab5ade39b7d2ea19f3ac535',1,'qspi.h']]],
['qspi_5fintflag_5ftype_8671',['QSPI_INTFLAG_Type',['../unionQSPI__INTFLAG__Type.html',1,'']]],
['qspi_5firqn_8672',['QSPI_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p20a.h']]],
['qspi_5frxdata_5fdata_5fpos_8673',['QSPI_RXDATA_DATA_Pos',['../component_2qspi_8h.html#ad47fc7a9b32733011d0cbbf53b974aeb',1,'qspi.h']]],
['qspi_5frxdata_5fmask_8674',['QSPI_RXDATA_MASK',['../component_2qspi_8h.html#a3fd4ee385b606854e969ddc1e60ad209',1,'qspi.h']]],
['qspi_5frxdata_5foffset_8675',['QSPI_RXDATA_OFFSET',['../component_2qspi_8h.html#a87be3956bd37543321c112cbb877e782',1,'qspi.h']]],
['qspi_5frxdata_5fresetvalue_8676',['QSPI_RXDATA_RESETVALUE',['../component_2qspi_8h.html#a9cd293dea7e9832403612f95104ed613',1,'qspi.h']]],
['qspi_5frxdata_5ftype_8677',['QSPI_RXDATA_Type',['../unionQSPI__RXDATA__Type.html',1,'']]],
['qspi_5fscrambctrl_5fenable_5fpos_8678',['QSPI_SCRAMBCTRL_ENABLE_Pos',['../component_2qspi_8h.html#a2f232227955f50262bddc1e61a89ff84',1,'qspi.h']]],
['qspi_5fscrambctrl_5fmask_8679',['QSPI_SCRAMBCTRL_MASK',['../component_2qspi_8h.html#ad22d15dfb114dc6a1a1ed5fd883336db',1,'qspi.h']]],
['qspi_5fscrambctrl_5foffset_8680',['QSPI_SCRAMBCTRL_OFFSET',['../component_2qspi_8h.html#a9f7acff6060bd153c03ee375a416abc1',1,'qspi.h']]],
['qspi_5fscrambctrl_5frandomdis_5fpos_8681',['QSPI_SCRAMBCTRL_RANDOMDIS_Pos',['../component_2qspi_8h.html#ae0be1ea93dedc3ea78044e7689027215',1,'qspi.h']]],
['qspi_5fscrambctrl_5fresetvalue_8682',['QSPI_SCRAMBCTRL_RESETVALUE',['../component_2qspi_8h.html#a6da38b6cbd11e1d96dc9ad1e2222b8bd',1,'qspi.h']]],
['qspi_5fscrambctrl_5ftype_8683',['QSPI_SCRAMBCTRL_Type',['../unionQSPI__SCRAMBCTRL__Type.html',1,'']]],
['qspi_5fscrambkey_5fkey_5fpos_8684',['QSPI_SCRAMBKEY_KEY_Pos',['../component_2qspi_8h.html#a2b83fe892cc0b989a9b1790ba647eff9',1,'qspi.h']]],
['qspi_5fscrambkey_5fmask_8685',['QSPI_SCRAMBKEY_MASK',['../component_2qspi_8h.html#af89ee04ceeef535fbe3d6167c7ae040a',1,'qspi.h']]],
['qspi_5fscrambkey_5foffset_8686',['QSPI_SCRAMBKEY_OFFSET',['../component_2qspi_8h.html#a8b2884969f43bf3ab836cc58f80c6f60',1,'qspi.h']]],
['qspi_5fscrambkey_5fresetvalue_8687',['QSPI_SCRAMBKEY_RESETVALUE',['../component_2qspi_8h.html#ab7bf6ac11510711a9128d215c055bc53',1,'qspi.h']]],
['qspi_5fscrambkey_5ftype_8688',['QSPI_SCRAMBKEY_Type',['../unionQSPI__SCRAMBKEY__Type.html',1,'']]],
['qspi_5fstatus_5fcsstatus_5fpos_8689',['QSPI_STATUS_CSSTATUS_Pos',['../component_2qspi_8h.html#a1c5b8b83243125a3d95ef7cf08405528',1,'qspi.h']]],
['qspi_5fstatus_5fenable_5fpos_8690',['QSPI_STATUS_ENABLE_Pos',['../component_2qspi_8h.html#aaa88fd251eb3cf0fb4d9654ee7e3d0b1',1,'qspi.h']]],
['qspi_5fstatus_5fmask_8691',['QSPI_STATUS_MASK',['../component_2qspi_8h.html#a2e8ceb017e15659067e5a0290bc3aae0',1,'qspi.h']]],
['qspi_5fstatus_5foffset_8692',['QSPI_STATUS_OFFSET',['../component_2qspi_8h.html#a7e6800de30b18ee1c16a17e8c3683b7d',1,'qspi.h']]],
['qspi_5fstatus_5fresetvalue_8693',['QSPI_STATUS_RESETVALUE',['../component_2qspi_8h.html#af605ee4eedd56fe75b161ca047fe240f',1,'qspi.h']]],
['qspi_5fstatus_5ftype_8694',['QSPI_STATUS_Type',['../unionQSPI__STATUS__Type.html',1,'']]],
['qspi_5ftxdata_5fdata_5fpos_8695',['QSPI_TXDATA_DATA_Pos',['../component_2qspi_8h.html#aebe5e7d967560044c44c7c65b4420e69',1,'qspi.h']]],
['qspi_5ftxdata_5fmask_8696',['QSPI_TXDATA_MASK',['../component_2qspi_8h.html#a1da1b467d64f72334eb2797919ceec36',1,'qspi.h']]],
['qspi_5ftxdata_5foffset_8697',['QSPI_TXDATA_OFFSET',['../component_2qspi_8h.html#a0ca429506a8e6b03fb928b3a26c20bfc',1,'qspi.h']]],
['qspi_5ftxdata_5fresetvalue_8698',['QSPI_TXDATA_RESETVALUE',['../component_2qspi_8h.html#a3477e070bac8c154a985baae65233b38',1,'qspi.h']]],
['qspi_5ftxdata_5ftype_8699',['QSPI_TXDATA_Type',['../unionQSPI__TXDATA__Type.html',1,'']]],
['qual_8700',['QUAL',['../unionTCC__FCTRLA__Type.html#ab09889ca29f89f22419e7b9e354a45f7',1,'TCC_FCTRLA_Type::QUAL()'],['../unionTCC__FCTRLB__Type.html#abc657b37bd5a1f2fe31c56c5117ccf82',1,'TCC_FCTRLB_Type::QUAL()']]],
['quadrature_20decodeur_8701',['Quadrature Decodeur',['../group__SAME54__PDEC.html',1,'']]],
['quad_20spi_20interface_8702',['Quad SPI interface',['../group__SAME54__QSPI.html',1,'']]]
];

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

@ -1,167 +1,167 @@
var searchData=
[
['watchdog_20timer_15338',['Watchdog Timer',['../group__SAME54__WDT.html',1,'']]],
['waitlock_15339',['WAITLOCK',['../unionOSCCTRL__DFLLCTRLB__Type.html#a471e12cb3293cc861e26065e2889f9b3',1,'OSCCTRL_DFLLCTRLB_Type']]],
['wakeen_15340',['WAKEEN',['../unionSUPC__BBPS__Type.html#ade6d5139c2e7ee3cb51a5bd2e178a32d',1,'SUPC_BBPS_Type']]],
['wakeup_15341',['WAKEUP',['../unionUSB__DEVICE__INTENCLR__Type.html#adf868220b51e321b3860d41242068803',1,'USB_DEVICE_INTENCLR_Type::WAKEUP()'],['../unionUSB__HOST__INTENCLR__Type.html#a5806c0f1aefad384f3eb449fa64b9fe4',1,'USB_HOST_INTENCLR_Type::WAKEUP()'],['../unionUSB__DEVICE__INTENSET__Type.html#a5952fd5161b637c84c0402879459111b',1,'USB_DEVICE_INTENSET_Type::WAKEUP()'],['../unionUSB__HOST__INTENSET__Type.html#a5b049c3ebe45212f3022942572d5f2fd',1,'USB_HOST_INTENSET_Type::WAKEUP()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a62845c9f5be9aeda15180c0101638427',1,'USB_DEVICE_INTFLAG_Type::WAKEUP()'],['../unionUSB__HOST__INTFLAG__Type.html#adce0f97282ec6e207022099c53fa1e33',1,'USB_HOST_INTFLAG_Type::WAKEUP()']]],
['wave_15342',['WAVE',['../structTcCount8.html#a0bc830a79dcf2aceafca52bc429b523c',1,'TcCount8::WAVE()'],['../structTcCount16.html#aa9cde664debc82d67004ac5b39726128',1,'TcCount16::WAVE()'],['../structTcCount32.html#a77ae55a0715bbc421cc5731a7d8d9477',1,'TcCount32::WAVE()'],['../unionTCC__SYNCBUSY__Type.html#ad1e6df5dab00885dbd0c74dab68df560',1,'TCC_SYNCBUSY_Type::WAVE()'],['../structTcc.html#aae49d975936cde132f53115171858a97',1,'Tcc::WAVE()']]],
['wavegen_15343',['WAVEGEN',['../unionTC__WAVE__Type.html#a05c28fa91d51fd8c522866c42aadc559',1,'TC_WAVE_Type::WAVEGEN()'],['../unionTCC__WAVE__Type.html#a9783e844ab1bedb8a8fce269885198d5',1,'TCC_WAVE_Type::WAVEGEN()']]],
['way_15344',['WAY',['../unionCMCC__MAINT1__Type.html#aff428b3aaee8363b9a5fceedb9395a48',1,'CMCC_MAINT1_Type']]],
['waynum_15345',['WAYNUM',['../unionCMCC__TYPE__Type.html#a7f51dda39d0395023794eca1e533109b',1,'CMCC_TYPE_Type']]],
['wbdis_15346',['WBDIS',['../unionICM__CFG__Type.html#ad0ec11e82af3d990e8abe6e27c3c3264',1,'ICM_CFG_Type']]],
['wcc_15347',['WCC',['../unionADC__STATUS__Type.html#ad82c2355fd21612c0e94c94367bf6874',1,'ADC_STATUS_Type']]],
['wcien_15348',['WCIEN',['../unionICM__RCFG__Type.html#a00b3f052dc992327c75466464ef1f6d3',1,'ICM_RCFG_Type']]],
['wcr_15349',['WCR',['../structSdhc.html#a2d12718e83da406180da44458bf3c0b1',1,'Sdhc']]],
['wdc_15350',['WDC',['../unionCAN__RWD__Type.html#a96706f852230d9c9cab93f693fda53c1',1,'CAN_RWD_Type']]],
['wdi_15351',['WDI',['../unionCAN__IR__Type.html#abd597344adcdec6621879f7725f65eb3',1,'CAN_IR_Type']]],
['wdie_15352',['WDIE',['../unionCAN__IE__Type.html#a9871952aa016cb8b3f91c5cf8e8eb7dd',1,'CAN_IE_Type']]],
['wdil_15353',['WDIL',['../unionCAN__ILS__Type.html#abe56b0f6300ae70bfaf4fc5f162b6f19',1,'CAN_ILS_Type']]],
['wdrbt_15354',['WDRBT',['../unionQSPI__CTRLB__Type.html#a6822f70c8971f01fc5f43423df00728d',1,'QSPI_CTRLB_Type']]],
['wdt_15355',['Wdt',['../structWdt.html',1,'Wdt'],['../unionRSTC__RCAUSE__Type.html#a56b804d51ee7842d884b799f211e9dd8',1,'RSTC_RCAUSE_Type::WDT()'],['../same54n19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p20a.h']]],
['wdt_2eh_15356',['wdt.h',['../component_2wdt_8h.html',1,'(Global Namespace)'],['../instance_2wdt_8h.html',1,'(Global Namespace)']]],
['wdt_5f_15357',['WDT_',['../unionMCLK__APBAMASK__Type.html#a5e5c131efd3f523957200a120d22169e',1,'MCLK_APBAMASK_Type::WDT_()'],['../unionPAC__INTFLAGA__Type.html#a95d433222779b9876e94e13368814529',1,'PAC_INTFLAGA_Type::WDT_()'],['../unionPAC__STATUSA__Type.html#a782fa17ee6ead608e2ab401072a97ede',1,'PAC_STATUSA_Type::WDT_()']]],
['wdt_5fclear_5fclear_5fkey_5fval_15358',['WDT_CLEAR_CLEAR_KEY_Val',['../component_2wdt_8h.html#ab9d83e2ecab22a9b789e5db90483a784',1,'wdt.h']]],
['wdt_5fclear_5fclear_5fpos_15359',['WDT_CLEAR_CLEAR_Pos',['../component_2wdt_8h.html#a3059b0505f94ff8b2ff25fb53dd56999',1,'wdt.h']]],
['wdt_5fclear_5fmask_15360',['WDT_CLEAR_MASK',['../component_2wdt_8h.html#ac1d3629de6e3c37cd93d94aad9cec4e6',1,'wdt.h']]],
['wdt_5fclear_5foffset_15361',['WDT_CLEAR_OFFSET',['../component_2wdt_8h.html#af1f57bd7a1a8ce2ae94864a7cea2e6e4',1,'wdt.h']]],
['wdt_5fclear_5fresetvalue_15362',['WDT_CLEAR_RESETVALUE',['../component_2wdt_8h.html#a9192db22a2c21f7afda2b8117a96d6ef',1,'wdt.h']]],
['wdt_5fclear_5ftype_15363',['WDT_CLEAR_Type',['../unionWDT__CLEAR__Type.html',1,'']]],
['wdt_5fconfig_5fmask_15364',['WDT_CONFIG_MASK',['../component_2wdt_8h.html#af9e2843301ae8da8b2d8a3d2c93a3f88',1,'wdt.h']]],
['wdt_5fconfig_5foffset_15365',['WDT_CONFIG_OFFSET',['../component_2wdt_8h.html#a0d3293445b10bdfdf733067d75f7b98c',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc1024_5fval_15366',['WDT_CONFIG_PER_CYC1024_Val',['../component_2wdt_8h.html#a987e1fd45b91a9fbb1f543c80c8d13c4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc128_5fval_15367',['WDT_CONFIG_PER_CYC128_Val',['../component_2wdt_8h.html#accbab206b332dddc6fa1a01729fc092f',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16384_5fval_15368',['WDT_CONFIG_PER_CYC16384_Val',['../component_2wdt_8h.html#a24efb4b1dd8e5db35e462f94bceaadec',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16_5fval_15369',['WDT_CONFIG_PER_CYC16_Val',['../component_2wdt_8h.html#afba76efe714284a6759149005d42e068',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc2048_5fval_15370',['WDT_CONFIG_PER_CYC2048_Val',['../component_2wdt_8h.html#a67b3ace504ea66ff9716a81fe4ffa566',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc256_5fval_15371',['WDT_CONFIG_PER_CYC256_Val',['../component_2wdt_8h.html#a97dab16547481df7f3c7a0c8b1ab5797',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc32_5fval_15372',['WDT_CONFIG_PER_CYC32_Val',['../component_2wdt_8h.html#ae718e0cf52132982208c6081d329f114',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc4096_5fval_15373',['WDT_CONFIG_PER_CYC4096_Val',['../component_2wdt_8h.html#a50edfcd24c0430413186fc6794354abb',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc512_5fval_15374',['WDT_CONFIG_PER_CYC512_Val',['../component_2wdt_8h.html#a9d9e62b7d1fd5df1ec6e15f0546ea76b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc64_5fval_15375',['WDT_CONFIG_PER_CYC64_Val',['../component_2wdt_8h.html#aed410571015c1d792431f3c6e3503222',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8192_5fval_15376',['WDT_CONFIG_PER_CYC8192_Val',['../component_2wdt_8h.html#ad72220cefef593dcdc0c97430cdd381b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8_5fval_15377',['WDT_CONFIG_PER_CYC8_Val',['../component_2wdt_8h.html#abcc004b82a9df108f7f9916d057943f4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fpos_15378',['WDT_CONFIG_PER_Pos',['../component_2wdt_8h.html#a55662a2fa7e16fd6cc7a5e0800034360',1,'wdt.h']]],
['wdt_5fconfig_5fresetvalue_15379',['WDT_CONFIG_RESETVALUE',['../component_2wdt_8h.html#a963315e6b2e0dfb513fb236eae8386b0',1,'wdt.h']]],
['wdt_5fconfig_5ftype_15380',['WDT_CONFIG_Type',['../unionWDT__CONFIG__Type.html',1,'']]],
['wdt_5fconfig_5fwindow_5fcyc1024_5fval_15381',['WDT_CONFIG_WINDOW_CYC1024_Val',['../component_2wdt_8h.html#a24922404455be3a1c28d404d7428e97b',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc128_5fval_15382',['WDT_CONFIG_WINDOW_CYC128_Val',['../component_2wdt_8h.html#ad416dcc6288734247575eaddfe656d7c',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16384_5fval_15383',['WDT_CONFIG_WINDOW_CYC16384_Val',['../component_2wdt_8h.html#ac72140ef0438e63559f1bffac329d3f9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16_5fval_15384',['WDT_CONFIG_WINDOW_CYC16_Val',['../component_2wdt_8h.html#a1c19b5a551e3cf9e57c3291657fcaa85',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc2048_5fval_15385',['WDT_CONFIG_WINDOW_CYC2048_Val',['../component_2wdt_8h.html#a93691d3f0e1d8e71d7bd5aea7ac72a08',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc256_5fval_15386',['WDT_CONFIG_WINDOW_CYC256_Val',['../component_2wdt_8h.html#ae8ffa2201aa9c9f31e20c942b3a5cfc9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc32_5fval_15387',['WDT_CONFIG_WINDOW_CYC32_Val',['../component_2wdt_8h.html#a42465a866ecbf65f33e452beb05cb8d0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc4096_5fval_15388',['WDT_CONFIG_WINDOW_CYC4096_Val',['../component_2wdt_8h.html#a0197f2f68d745a025fc4a97540f34c62',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc512_5fval_15389',['WDT_CONFIG_WINDOW_CYC512_Val',['../component_2wdt_8h.html#a6df0e2a9ac9053130ab8673ec4801264',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc64_5fval_15390',['WDT_CONFIG_WINDOW_CYC64_Val',['../component_2wdt_8h.html#ac49da38933b208ae93a8e042ab11b6e0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8192_5fval_15391',['WDT_CONFIG_WINDOW_CYC8192_Val',['../component_2wdt_8h.html#ab3c375b315a145b56484d972888b7129',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8_5fval_15392',['WDT_CONFIG_WINDOW_CYC8_Val',['../component_2wdt_8h.html#a6c3961932249ae130fcfba2c4a7eb4d5',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fpos_15393',['WDT_CONFIG_WINDOW_Pos',['../component_2wdt_8h.html#ab2d3263bd9ad06e3257234d9da623ef8',1,'wdt.h']]],
['wdt_5fctrla_5falwayson_5fpos_15394',['WDT_CTRLA_ALWAYSON_Pos',['../component_2wdt_8h.html#a6b4e9162576f200eeaab0bfeaccbf2e2',1,'wdt.h']]],
['wdt_5fctrla_5fenable_5fpos_15395',['WDT_CTRLA_ENABLE_Pos',['../component_2wdt_8h.html#aa9c995e8a97dca656cabe42986621fa5',1,'wdt.h']]],
['wdt_5fctrla_5fmask_15396',['WDT_CTRLA_MASK',['../component_2wdt_8h.html#ac050a87c1be178866d39e2946773af8c',1,'wdt.h']]],
['wdt_5fctrla_5foffset_15397',['WDT_CTRLA_OFFSET',['../component_2wdt_8h.html#a03570806578a653a7b3f4ef9588a21a3',1,'wdt.h']]],
['wdt_5fctrla_5fresetvalue_15398',['WDT_CTRLA_RESETVALUE',['../component_2wdt_8h.html#a6c0fe1613fd8c8330c2da90121786102',1,'wdt.h']]],
['wdt_5fctrla_5ftype_15399',['WDT_CTRLA_Type',['../unionWDT__CTRLA__Type.html',1,'']]],
['wdt_5fctrla_5fwen_5fpos_15400',['WDT_CTRLA_WEN_Pos',['../component_2wdt_8h.html#ad4b6de3d877eecbb024d2a03ce192f77',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc1024_5fval_15401',['WDT_EWCTRL_EWOFFSET_CYC1024_Val',['../component_2wdt_8h.html#a3367bd5665970adb6317162a90160774',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc128_5fval_15402',['WDT_EWCTRL_EWOFFSET_CYC128_Val',['../component_2wdt_8h.html#a24a8548c24ad2cdf41ad77b241ec63dd',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16384_5fval_15403',['WDT_EWCTRL_EWOFFSET_CYC16384_Val',['../component_2wdt_8h.html#a901cf141d98d615ccc2103621f5a22b6',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16_5fval_15404',['WDT_EWCTRL_EWOFFSET_CYC16_Val',['../component_2wdt_8h.html#ab5ebce145846cd1b4d580d1b0ab55ffa',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc2048_5fval_15405',['WDT_EWCTRL_EWOFFSET_CYC2048_Val',['../component_2wdt_8h.html#ab0f7be4f8e1b1fca28f6aa34ffd55b20',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc256_5fval_15406',['WDT_EWCTRL_EWOFFSET_CYC256_Val',['../component_2wdt_8h.html#acc1a3dfab64345f1b995361254cd610d',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc32_5fval_15407',['WDT_EWCTRL_EWOFFSET_CYC32_Val',['../component_2wdt_8h.html#a30885a7b4794755b0b5b827d24d84a95',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc4096_5fval_15408',['WDT_EWCTRL_EWOFFSET_CYC4096_Val',['../component_2wdt_8h.html#a594b6b1082b7066707ca8b1913fa22b1',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc512_5fval_15409',['WDT_EWCTRL_EWOFFSET_CYC512_Val',['../component_2wdt_8h.html#a9de5c16a1592d6967a3fbf429af4ce75',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc64_5fval_15410',['WDT_EWCTRL_EWOFFSET_CYC64_Val',['../component_2wdt_8h.html#aac5bdb4b6c7616f440514dbd3dd59046',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8192_5fval_15411',['WDT_EWCTRL_EWOFFSET_CYC8192_Val',['../component_2wdt_8h.html#abe331c5db344d09c5081b32d7bc4f030',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8_5fval_15412',['WDT_EWCTRL_EWOFFSET_CYC8_Val',['../component_2wdt_8h.html#acf599641c504019b8fd7c49bca7098fc',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fpos_15413',['WDT_EWCTRL_EWOFFSET_Pos',['../component_2wdt_8h.html#abb7809711cdf296121c2108b55d3ad33',1,'wdt.h']]],
['wdt_5fewctrl_5fmask_15414',['WDT_EWCTRL_MASK',['../component_2wdt_8h.html#ad11e24dd62ea0905ed507f49f62c55c2',1,'wdt.h']]],
['wdt_5fewctrl_5foffset_15415',['WDT_EWCTRL_OFFSET',['../component_2wdt_8h.html#a6d95eafd522ac7c24f3c5bfd19e10af5',1,'wdt.h']]],
['wdt_5fewctrl_5fresetvalue_15416',['WDT_EWCTRL_RESETVALUE',['../component_2wdt_8h.html#a9379b9c03732aac0dc5eacfe28fd35f8',1,'wdt.h']]],
['wdt_5fewctrl_5ftype_15417',['WDT_EWCTRL_Type',['../unionWDT__EWCTRL__Type.html',1,'']]],
['wdt_5ffuses_5falwayson_5fpos_15418',['WDT_FUSES_ALWAYSON_Pos',['../component_2nvmctrl_8h.html#aad4f4fec9a3339faea07db386174b75e',1,'nvmctrl.h']]],
['wdt_5ffuses_5fenable_5fpos_15419',['WDT_FUSES_ENABLE_Pos',['../component_2nvmctrl_8h.html#a3e49258fa52a7aededcc7b3e54533ef5',1,'nvmctrl.h']]],
['wdt_5ffuses_5fewoffset_5fpos_15420',['WDT_FUSES_EWOFFSET_Pos',['../component_2nvmctrl_8h.html#ae2f89f15eabc293811402651af74d059',1,'nvmctrl.h']]],
['wdt_5ffuses_5fper_5fpos_15421',['WDT_FUSES_PER_Pos',['../component_2nvmctrl_8h.html#a87db8b2566c9dd04e5c0cb3838175952',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwen_5fpos_15422',['WDT_FUSES_WEN_Pos',['../component_2nvmctrl_8h.html#a87c9e50a26f5095b90217f854536d90f',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwindow_5fpos_15423',['WDT_FUSES_WINDOW_Pos',['../component_2nvmctrl_8h.html#a79ba176ad4ff912b404632c0d0f7541e',1,'nvmctrl.h']]],
['wdt_5finst_5fnum_15424',['WDT_INST_NUM',['../same54n19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p20a.h']]],
['wdt_5finsts_15425',['WDT_INSTS',['../same54n19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p20a.h']]],
['wdt_5fintenclr_5few_5fpos_15426',['WDT_INTENCLR_EW_Pos',['../component_2wdt_8h.html#acbfecace29fe0f43e6f3fb8799813157',1,'wdt.h']]],
['wdt_5fintenclr_5fmask_15427',['WDT_INTENCLR_MASK',['../component_2wdt_8h.html#a261d65af584086a561448aeedeabbd76',1,'wdt.h']]],
['wdt_5fintenclr_5foffset_15428',['WDT_INTENCLR_OFFSET',['../component_2wdt_8h.html#a98f37addcc6abfc015425013c3a39336',1,'wdt.h']]],
['wdt_5fintenclr_5fresetvalue_15429',['WDT_INTENCLR_RESETVALUE',['../component_2wdt_8h.html#a9e7f5a23ae81064086ecf4e877116ee0',1,'wdt.h']]],
['wdt_5fintenclr_5ftype_15430',['WDT_INTENCLR_Type',['../unionWDT__INTENCLR__Type.html',1,'']]],
['wdt_5fintenset_5few_5fpos_15431',['WDT_INTENSET_EW_Pos',['../component_2wdt_8h.html#ab3754e1861849b0fbb6d056b8b6ac398',1,'wdt.h']]],
['wdt_5fintenset_5fmask_15432',['WDT_INTENSET_MASK',['../component_2wdt_8h.html#a3b4c09a36c0f08d32b983e12e06c706f',1,'wdt.h']]],
['wdt_5fintenset_5foffset_15433',['WDT_INTENSET_OFFSET',['../component_2wdt_8h.html#a6497c59af95b3fd1aad2b074a75e4173',1,'wdt.h']]],
['wdt_5fintenset_5fresetvalue_15434',['WDT_INTENSET_RESETVALUE',['../component_2wdt_8h.html#a9ac75e52d30478302b59583789dca453',1,'wdt.h']]],
['wdt_5fintenset_5ftype_15435',['WDT_INTENSET_Type',['../unionWDT__INTENSET__Type.html',1,'']]],
['wdt_5fintflag_5few_5fpos_15436',['WDT_INTFLAG_EW_Pos',['../component_2wdt_8h.html#ad92b31e2c0815fceba1ace8b061ffe25',1,'wdt.h']]],
['wdt_5fintflag_5fmask_15437',['WDT_INTFLAG_MASK',['../component_2wdt_8h.html#a0f9add7d544318a00033f236441e048e',1,'wdt.h']]],
['wdt_5fintflag_5foffset_15438',['WDT_INTFLAG_OFFSET',['../component_2wdt_8h.html#a8ccc2de815933812cd609179de30fc5b',1,'wdt.h']]],
['wdt_5fintflag_5fresetvalue_15439',['WDT_INTFLAG_RESETVALUE',['../component_2wdt_8h.html#ac9159591ef9fa9ebb4958fa38ad9b56c',1,'wdt.h']]],
['wdt_5fintflag_5ftype_15440',['WDT_INTFLAG_Type',['../unionWDT__INTFLAG__Type.html',1,'']]],
['wdt_5firqn_15441',['WDT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p20a.h']]],
['wdt_5fsyncbusy_5falwayson_5fpos_15442',['WDT_SYNCBUSY_ALWAYSON_Pos',['../component_2wdt_8h.html#a963f6e267713299cc68adf831b29abba',1,'wdt.h']]],
['wdt_5fsyncbusy_5fclear_5fpos_15443',['WDT_SYNCBUSY_CLEAR_Pos',['../component_2wdt_8h.html#ab72171e5b655f45b9033b9dbff8f8901',1,'wdt.h']]],
['wdt_5fsyncbusy_5fenable_5fpos_15444',['WDT_SYNCBUSY_ENABLE_Pos',['../component_2wdt_8h.html#a5f9f84ef499127159a9edb0de1d36dcb',1,'wdt.h']]],
['wdt_5fsyncbusy_5fmask_15445',['WDT_SYNCBUSY_MASK',['../component_2wdt_8h.html#aaa251df37c6a6d581f6ccba3728ca873',1,'wdt.h']]],
['wdt_5fsyncbusy_5foffset_15446',['WDT_SYNCBUSY_OFFSET',['../component_2wdt_8h.html#a5ff5966b2f81dc8c7364dd59ed6c1ae1',1,'wdt.h']]],
['wdt_5fsyncbusy_5fresetvalue_15447',['WDT_SYNCBUSY_RESETVALUE',['../component_2wdt_8h.html#a875a34b4a1874949a2dfb5047cf57785',1,'wdt.h']]],
['wdt_5fsyncbusy_5ftype_15448',['WDT_SYNCBUSY_Type',['../unionWDT__SYNCBUSY__Type.html',1,'']]],
['wdt_5fsyncbusy_5fwen_5fpos_15449',['WDT_SYNCBUSY_WEN_Pos',['../component_2wdt_8h.html#a2ded1ef3f13790d024811b1764038d6e',1,'wdt.h']]],
['wdv_15450',['WDV',['../unionCAN__RWD__Type.html#a6f8b55d0c634555a47f898b75d3a2c7e',1,'CAN_RWD_Type']]],
['wen_15451',['WEN',['../unionWDT__CTRLA__Type.html#af4de0eaf8518e75745260f9087a016d9',1,'WDT_CTRLA_Type::WEN()'],['../unionWDT__SYNCBUSY__Type.html#abfb73650f4717cb850e065018d777e10',1,'WDT_SYNCBUSY_Type::WEN()']]],
['wen0_15452',['WEN0',['../unionAC__WINCTRL__Type.html#a72a4c9afb389393cb713615978a7813f',1,'AC_WINCTRL_Type']]],
['westat_15453',['WESTAT',['../unionGMAC__NCR__Type.html#a3ad39628765207bf47a7faba21e41fc5',1,'GMAC_NCR_Type']]],
['wexctrl_15454',['WEXCTRL',['../structTcc.html#a07d5bc9674cead25445060479c66acd1',1,'Tcc']]],
['width_15455',['WIDTH',['../unionQSPI__INSTRFRAME__Type.html#af4e9717d15fbd6daa759da9ab6308641',1,'QSPI_INSTRFRAME_Type']]],
['win_15456',['WIN',['../unionAC__INTENCLR__Type.html#a6552dbc0a8e405aaa50d1da95a81baa7',1,'AC_INTENCLR_Type::WIN()'],['../unionAC__INTENSET__Type.html#a15d52e6fc36216e401abb8029b2fdf54',1,'AC_INTENSET_Type::WIN()'],['../unionAC__INTFLAG__Type.html#a389125c1799b8dc8f0c9cf0d2761cb0c',1,'AC_INTFLAG_Type::WIN()']]],
['win0_15457',['WIN0',['../unionAC__INTENCLR__Type.html#aa0138a6b5c6c745c76b4e59b9b2b4f47',1,'AC_INTENCLR_Type::WIN0()'],['../unionAC__INTENSET__Type.html#a39873288bd0e9d091d0ae83d396d24da',1,'AC_INTENSET_Type::WIN0()'],['../unionAC__INTFLAG__Type.html#a83708cf4e9af92a15d890824bc1ea3a5',1,'AC_INTFLAG_Type::WIN0()']]],
['winctrl_15458',['WINCTRL',['../unionAC__SYNCBUSY__Type.html#a0b1dcb649b6fa53e53c743818544a46a',1,'AC_SYNCBUSY_Type::WINCTRL()'],['../structAc.html#aae674ade4d82dfa07869611331e97a65',1,'Ac::WINCTRL()']]],
['window_15459',['WINDOW',['../unionWDT__CONFIG__Type.html#a6212b9cf260c88d05859b6cbc0080182',1,'WDT_CONFIG_Type']]],
['wineo_15460',['WINEO',['../unionAC__EVCTRL__Type.html#ac26ab4051408af4f6ef5f28f54e9b7e2',1,'AC_EVCTRL_Type']]],
['wineo0_15461',['WINEO0',['../unionAC__EVCTRL__Type.html#a3436fe70198f6e81e92a2404bd178574',1,'AC_EVCTRL_Type']]],
['winerr_15462',['WINERR',['../unionPDEC__STATUS__Type.html#a0d3b54587e79518a494c58c68ff3d3e6',1,'PDEC_STATUS_Type']]],
['winlt_15463',['WINLT',['../unionADC__WINLT__Type.html#a035756415c5bd280e4ffbb100760674a',1,'ADC_WINLT_Type::WINLT()'],['../unionADC__SYNCBUSY__Type.html#a7a432c7721d7866af8d44cabd977e0ec',1,'ADC_SYNCBUSY_Type::WINLT()'],['../unionADC__DSEQCTRL__Type.html#a9e64b71eb573080288e065b399c6c4c3',1,'ADC_DSEQCTRL_Type::WINLT()'],['../unionADC__DSEQSTAT__Type.html#a5d893e08a68b32e2aa81ba1e09752cc1',1,'ADC_DSEQSTAT_Type::WINLT()'],['../structAdc.html#a8a6d9b6cf625bd8af5f6ad59ad484b73',1,'Adc::WINLT()']]],
['winmode_15464',['WINMODE',['../unionADC__CTRLB__Type.html#af76a4055e3e021db4865ea62d42dbc2a',1,'ADC_CTRLB_Type']]],
['winmon_15465',['WINMON',['../unionADC__INTENCLR__Type.html#a102bd20f5d0db4994d14ee58de5dce77',1,'ADC_INTENCLR_Type::WINMON()'],['../unionADC__INTENSET__Type.html#a241338a3153ffef3eb281857ff0ce239',1,'ADC_INTENSET_Type::WINMON()'],['../unionADC__INTFLAG__Type.html#a8304d989141bfc12191122d3594c5756',1,'ADC_INTFLAG_Type::WINMON()']]],
['winmoneo_15466',['WINMONEO',['../unionADC__EVCTRL__Type.html#ac9940eaccf8de887688bb552b1ce6da9',1,'ADC_EVCTRL_Type']]],
['winss_15467',['WINSS',['../unionADC__CTRLB__Type.html#accc2fb0af030de886be5984e80ac9afb',1,'ADC_CTRLB_Type']]],
['wintsel0_15468',['WINTSEL0',['../unionAC__WINCTRL__Type.html#a4c5609462535a1c98e79acb0526f0eae',1,'AC_WINCTRL_Type']]],
['winut_15469',['WINUT',['../unionADC__WINUT__Type.html#a7e2bf53ee179d543e656fb875f7dfd32',1,'ADC_WINUT_Type::WINUT()'],['../unionADC__SYNCBUSY__Type.html#a4aa98d01f17003d2bfa9ab2b9bdda2ed',1,'ADC_SYNCBUSY_Type::WINUT()'],['../unionADC__DSEQCTRL__Type.html#a8d8701c479e727df80d0894c6ecf9837',1,'ADC_DSEQCTRL_Type::WINUT()'],['../unionADC__DSEQSTAT__Type.html#a9cb85df75e8ec6d51bec9dc6ce47800f',1,'ADC_DSEQSTAT_Type::WINUT()'],['../structAdc.html#a486eb898066a8e9203b368556372a92d',1,'Adc::WINUT()']]],
['wkencins_15470',['WKENCINS',['../unionSDHC__WCR__Type.html#a7a802391a341669823f7d47887b4f2c4',1,'SDHC_WCR_Type']]],
['wkencint_15471',['WKENCINT',['../unionSDHC__WCR__Type.html#ac9ea218d2b9f3b4459150e9f244a5123',1,'SDHC_WCR_Type']]],
['wkencrem_15472',['WKENCREM',['../unionSDHC__WCR__Type.html#adbd09c145824cb5784f6c631c9044c8f',1,'SDHC_WCR_Type']]],
['wmode_15473',['WMODE',['../unionNVMCTRL__CTRLA__Type.html#adbd3afd8e391e8c2f43182d8e68f78a4',1,'NVMCTRL_CTRLA_Type::WMODE()'],['../unionNVMCTRL__SEECFG__Type.html#a2bdfd7f8f93cec37052eeecbd82092a6',1,'NVMCTRL_SEECFG_Type::WMODE()']]],
['wol_15474',['WOL',['../unionGMAC__ISR__Type.html#ac018273ad0ec277cacb857d2b521a1da',1,'GMAC_ISR_Type::WOL()'],['../unionGMAC__IER__Type.html#a221f16bd2b5112b8e0d646e8fd011ea9',1,'GMAC_IER_Type::WOL()'],['../unionGMAC__IDR__Type.html#a372dd7dafe879d186b8b119ea42ad9e1',1,'GMAC_IDR_Type::WOL()'],['../unionGMAC__IMR__Type.html#abdb32d7f0573910dac51a0f337f0e66d',1,'GMAC_IMR_Type::WOL()'],['../structGmac.html#ac83aa1c3bcf2abe89a8fb9a137a2492c',1,'Gmac::WOL()']]],
['wordadj_15475',['WORDADJ',['../unionI2S__TXCTRL__Type.html#af478084a4ad29de47ca3420f18d941b3',1,'I2S_TXCTRL_Type::WORDADJ()'],['../unionI2S__RXCTRL__Type.html#abeebc9aeaf04d8880477b48497ee7a7a',1,'I2S_RXCTRL_Type::WORDADJ()']]],
['woreg_15476',['WoReg',['../same54n19a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54n19a.h'],['../same54n20a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54n20a.h'],['../same54p19a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54p19a.h'],['../same54p20a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54p20a.h']]],
['woreg16_15477',['WoReg16',['../same54n19a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54n19a.h'],['../same54n20a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54n20a.h'],['../same54p19a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54p19a.h'],['../same54p20a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54p20a.h']]],
['woreg8_15478',['WoReg8',['../same54n19a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54n19a.h'],['../same54n20a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54n20a.h'],['../same54p19a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54p19a.h'],['../same54p20a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54p20a.h']]],
['wpcode_15479',['WPCODE',['../unionPICOP__CTRL__Type.html#a780c3b0e4e5f60d95a1c29e3492f2742',1,'PICOP_CTRL_Type']]],
['wpctx_15480',['WPCTX',['../unionPICOP__CTRL__Type.html#aafbea43ecb00ecb1e551014de95c6093',1,'PICOP_CTRL_Type']]],
['wpen_15481',['WPEN',['../unionPCC__WPMR__Type.html#a3f1c20e744960da1ff02379f711468df',1,'PCC_WPMR_Type']]],
['wpicache_15482',['WPICACHE',['../unionPICOP__CTRL__Type.html#a2cfd13f66b0c0b7186af3f4fc689d33e',1,'PICOP_CTRL_Type']]],
['wpkey_15483',['WPKEY',['../unionPCC__WPMR__Type.html#a209a7b4031fff6b268663581e66987c0',1,'PCC_WPMR_Type']]],
['wpmr_15484',['WPMR',['../structPcc.html#a4773bc88915b9d5405d3de9de0b13f28',1,'Pcc']]],
['wpsr_15485',['WPSR',['../structPcc.html#aa2759a62fec64920b9d9886645cebf65',1,'Pcc']]],
['wpvec_15486',['WPVEC',['../unionPICOP__CTRL__Type.html#a191fa5393b2a5c57d006a62048df4470',1,'PICOP_CTRL_Type']]],
['wpvs_15487',['WPVS',['../unionPCC__WPSR__Type.html#a335670e5d0cd2e672c9b8ae4defee1db',1,'PCC_WPSR_Type']]],
['wpvsrc_15488',['WPVSRC',['../unionPCC__WPSR__Type.html#ac7a1452b7a0d36d6e9ddafdc5b945cd3',1,'PCC_WPSR_Type']]],
['wrap_15489',['WRAP',['../unionICM__RCFG__Type.html#a3ca2d4233f98c9ca1a4839b012f736d2',1,'ICM_RCFG_Type']]],
['wrbaddr_15490',['WRBADDR',['../unionDMAC__WRBADDR__Type.html#a66f3638cf987a2efd733a03dd2b894c4',1,'DMAC_WRBADDR_Type::WRBADDR()'],['../structDmac.html#a08d749c7922d2792f766ae26a4c5d0a4',1,'Dmac::WRBADDR()']]],
['wrconfig_15491',['WRCONFIG',['../structPortGroup.html#a2db67b1c96ebd644f590b0b0e02b20c5',1,'PortGroup']]],
['wrctrl_15492',['WRCTRL',['../structPac.html#a4b2496dfffd2d47da5afc77c0b259338',1,'Pac']]],
['wrpincfg_15493',['WRPINCFG',['../unionPORT__WRCONFIG__Type.html#a96a58eebd76c15378400be16831a3455',1,'PORT_WRCONFIG_Type']]],
['wrpmux_15494',['WRPMUX',['../unionPORT__WRCONFIG__Type.html#a3fcee4fef27eba12a3e623065e245fde',1,'PORT_WRCONFIG_Type']]],
['wrppl_15495',['WRPPL',['../unionSDHC__PSR__Type.html#a6e41b96a1fc52992357aba4dceb899df',1,'SDHC_PSR_Type']]],
['wrtlock_15496',['WRTLOCK',['../unionGCLK__PCHCTRL__Type.html#a46f57bec63c52672a774ea486ffb2c41',1,'GCLK_PCHCTRL_Type::WRTLOCK()'],['../unionOSC32KCTRL__XOSC32K__Type.html#a62461155ed45e0321f3fc15254c748eb',1,'OSC32KCTRL_XOSC32K_Type::WRTLOCK()'],['../unionOSC32KCTRL__OSCULP32K__Type.html#aba63b989f1a3f81c02bfa60a297f4969',1,'OSC32KCTRL_OSCULP32K_Type::WRTLOCK()']]],
['wstate0_15497',['WSTATE0',['../unionAC__STATUSA__Type.html#ac8b9a13007924855fee58c699c5762c5',1,'AC_STATUSA_Type']]],
['wtact_15498',['WTACT',['../unionSDHC__PSR__Type.html#a3b5c8c53b207ac4ed720119c91c46aaf',1,'SDHC_PSR_Type']]],
['wtn_15499',['WTN',['../unionGMAC__MAN__Type.html#a7eba47676ba4f6627e909ddf18c1613f',1,'GMAC_MAN_Type']]],
['wuf_15500',['WUF',['../unionOSCCTRL__DPLLCTRLB__Type.html#a713199f045639957242168693bb983f0',1,'OSCCTRL_DPLLCTRLB_Type']]],
['wzo_15501',['WZO',['../unionGMAC__MAN__Type.html#a1b4e9982c460c13f05cc7eb03be526b4',1,'GMAC_MAN_Type']]]
['watchdog_20timer_15373',['Watchdog Timer',['../group__SAME54__WDT.html',1,'']]],
['waitlock_15374',['WAITLOCK',['../unionOSCCTRL__DFLLCTRLB__Type.html#a471e12cb3293cc861e26065e2889f9b3',1,'OSCCTRL_DFLLCTRLB_Type']]],
['wakeen_15375',['WAKEEN',['../unionSUPC__BBPS__Type.html#ade6d5139c2e7ee3cb51a5bd2e178a32d',1,'SUPC_BBPS_Type']]],
['wakeup_15376',['WAKEUP',['../unionUSB__DEVICE__INTENCLR__Type.html#adf868220b51e321b3860d41242068803',1,'USB_DEVICE_INTENCLR_Type::WAKEUP()'],['../unionUSB__HOST__INTENCLR__Type.html#a5806c0f1aefad384f3eb449fa64b9fe4',1,'USB_HOST_INTENCLR_Type::WAKEUP()'],['../unionUSB__DEVICE__INTENSET__Type.html#a5952fd5161b637c84c0402879459111b',1,'USB_DEVICE_INTENSET_Type::WAKEUP()'],['../unionUSB__HOST__INTENSET__Type.html#a5b049c3ebe45212f3022942572d5f2fd',1,'USB_HOST_INTENSET_Type::WAKEUP()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a62845c9f5be9aeda15180c0101638427',1,'USB_DEVICE_INTFLAG_Type::WAKEUP()'],['../unionUSB__HOST__INTFLAG__Type.html#adce0f97282ec6e207022099c53fa1e33',1,'USB_HOST_INTFLAG_Type::WAKEUP()']]],
['wave_15377',['WAVE',['../structTcCount8.html#a0bc830a79dcf2aceafca52bc429b523c',1,'TcCount8::WAVE()'],['../structTcCount16.html#aa9cde664debc82d67004ac5b39726128',1,'TcCount16::WAVE()'],['../structTcCount32.html#a77ae55a0715bbc421cc5731a7d8d9477',1,'TcCount32::WAVE()'],['../unionTCC__SYNCBUSY__Type.html#ad1e6df5dab00885dbd0c74dab68df560',1,'TCC_SYNCBUSY_Type::WAVE()'],['../structTcc.html#aae49d975936cde132f53115171858a97',1,'Tcc::WAVE()']]],
['wavegen_15378',['WAVEGEN',['../unionTC__WAVE__Type.html#a05c28fa91d51fd8c522866c42aadc559',1,'TC_WAVE_Type::WAVEGEN()'],['../unionTCC__WAVE__Type.html#a9783e844ab1bedb8a8fce269885198d5',1,'TCC_WAVE_Type::WAVEGEN()']]],
['way_15379',['WAY',['../unionCMCC__MAINT1__Type.html#aff428b3aaee8363b9a5fceedb9395a48',1,'CMCC_MAINT1_Type']]],
['waynum_15380',['WAYNUM',['../unionCMCC__TYPE__Type.html#a7f51dda39d0395023794eca1e533109b',1,'CMCC_TYPE_Type']]],
['wbdis_15381',['WBDIS',['../unionICM__CFG__Type.html#ad0ec11e82af3d990e8abe6e27c3c3264',1,'ICM_CFG_Type']]],
['wcc_15382',['WCC',['../unionADC__STATUS__Type.html#ad82c2355fd21612c0e94c94367bf6874',1,'ADC_STATUS_Type']]],
['wcien_15383',['WCIEN',['../unionICM__RCFG__Type.html#a00b3f052dc992327c75466464ef1f6d3',1,'ICM_RCFG_Type']]],
['wcr_15384',['WCR',['../structSdhc.html#a2d12718e83da406180da44458bf3c0b1',1,'Sdhc']]],
['wdc_15385',['WDC',['../unionCAN__RWD__Type.html#a96706f852230d9c9cab93f693fda53c1',1,'CAN_RWD_Type']]],
['wdi_15386',['WDI',['../unionCAN__IR__Type.html#abd597344adcdec6621879f7725f65eb3',1,'CAN_IR_Type']]],
['wdie_15387',['WDIE',['../unionCAN__IE__Type.html#a9871952aa016cb8b3f91c5cf8e8eb7dd',1,'CAN_IE_Type']]],
['wdil_15388',['WDIL',['../unionCAN__ILS__Type.html#abe56b0f6300ae70bfaf4fc5f162b6f19',1,'CAN_ILS_Type']]],
['wdrbt_15389',['WDRBT',['../unionQSPI__CTRLB__Type.html#a6822f70c8971f01fc5f43423df00728d',1,'QSPI_CTRLB_Type']]],
['wdt_15390',['Wdt',['../structWdt.html',1,'Wdt'],['../unionRSTC__RCAUSE__Type.html#a56b804d51ee7842d884b799f211e9dd8',1,'RSTC_RCAUSE_Type::WDT()'],['../same54n19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p20a.h']]],
['wdt_2eh_15391',['wdt.h',['../component_2wdt_8h.html',1,'(Global Namespace)'],['../instance_2wdt_8h.html',1,'(Global Namespace)']]],
['wdt_5f_15392',['WDT_',['../unionMCLK__APBAMASK__Type.html#a5e5c131efd3f523957200a120d22169e',1,'MCLK_APBAMASK_Type::WDT_()'],['../unionPAC__INTFLAGA__Type.html#a95d433222779b9876e94e13368814529',1,'PAC_INTFLAGA_Type::WDT_()'],['../unionPAC__STATUSA__Type.html#a782fa17ee6ead608e2ab401072a97ede',1,'PAC_STATUSA_Type::WDT_()']]],
['wdt_5fclear_5fclear_5fkey_5fval_15393',['WDT_CLEAR_CLEAR_KEY_Val',['../component_2wdt_8h.html#ab9d83e2ecab22a9b789e5db90483a784',1,'wdt.h']]],
['wdt_5fclear_5fclear_5fpos_15394',['WDT_CLEAR_CLEAR_Pos',['../component_2wdt_8h.html#a3059b0505f94ff8b2ff25fb53dd56999',1,'wdt.h']]],
['wdt_5fclear_5fmask_15395',['WDT_CLEAR_MASK',['../component_2wdt_8h.html#ac1d3629de6e3c37cd93d94aad9cec4e6',1,'wdt.h']]],
['wdt_5fclear_5foffset_15396',['WDT_CLEAR_OFFSET',['../component_2wdt_8h.html#af1f57bd7a1a8ce2ae94864a7cea2e6e4',1,'wdt.h']]],
['wdt_5fclear_5fresetvalue_15397',['WDT_CLEAR_RESETVALUE',['../component_2wdt_8h.html#a9192db22a2c21f7afda2b8117a96d6ef',1,'wdt.h']]],
['wdt_5fclear_5ftype_15398',['WDT_CLEAR_Type',['../unionWDT__CLEAR__Type.html',1,'']]],
['wdt_5fconfig_5fmask_15399',['WDT_CONFIG_MASK',['../component_2wdt_8h.html#af9e2843301ae8da8b2d8a3d2c93a3f88',1,'wdt.h']]],
['wdt_5fconfig_5foffset_15400',['WDT_CONFIG_OFFSET',['../component_2wdt_8h.html#a0d3293445b10bdfdf733067d75f7b98c',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc1024_5fval_15401',['WDT_CONFIG_PER_CYC1024_Val',['../component_2wdt_8h.html#a987e1fd45b91a9fbb1f543c80c8d13c4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc128_5fval_15402',['WDT_CONFIG_PER_CYC128_Val',['../component_2wdt_8h.html#accbab206b332dddc6fa1a01729fc092f',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16384_5fval_15403',['WDT_CONFIG_PER_CYC16384_Val',['../component_2wdt_8h.html#a24efb4b1dd8e5db35e462f94bceaadec',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16_5fval_15404',['WDT_CONFIG_PER_CYC16_Val',['../component_2wdt_8h.html#afba76efe714284a6759149005d42e068',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc2048_5fval_15405',['WDT_CONFIG_PER_CYC2048_Val',['../component_2wdt_8h.html#a67b3ace504ea66ff9716a81fe4ffa566',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc256_5fval_15406',['WDT_CONFIG_PER_CYC256_Val',['../component_2wdt_8h.html#a97dab16547481df7f3c7a0c8b1ab5797',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc32_5fval_15407',['WDT_CONFIG_PER_CYC32_Val',['../component_2wdt_8h.html#ae718e0cf52132982208c6081d329f114',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc4096_5fval_15408',['WDT_CONFIG_PER_CYC4096_Val',['../component_2wdt_8h.html#a50edfcd24c0430413186fc6794354abb',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc512_5fval_15409',['WDT_CONFIG_PER_CYC512_Val',['../component_2wdt_8h.html#a9d9e62b7d1fd5df1ec6e15f0546ea76b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc64_5fval_15410',['WDT_CONFIG_PER_CYC64_Val',['../component_2wdt_8h.html#aed410571015c1d792431f3c6e3503222',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8192_5fval_15411',['WDT_CONFIG_PER_CYC8192_Val',['../component_2wdt_8h.html#ad72220cefef593dcdc0c97430cdd381b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8_5fval_15412',['WDT_CONFIG_PER_CYC8_Val',['../component_2wdt_8h.html#abcc004b82a9df108f7f9916d057943f4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fpos_15413',['WDT_CONFIG_PER_Pos',['../component_2wdt_8h.html#a55662a2fa7e16fd6cc7a5e0800034360',1,'wdt.h']]],
['wdt_5fconfig_5fresetvalue_15414',['WDT_CONFIG_RESETVALUE',['../component_2wdt_8h.html#a963315e6b2e0dfb513fb236eae8386b0',1,'wdt.h']]],
['wdt_5fconfig_5ftype_15415',['WDT_CONFIG_Type',['../unionWDT__CONFIG__Type.html',1,'']]],
['wdt_5fconfig_5fwindow_5fcyc1024_5fval_15416',['WDT_CONFIG_WINDOW_CYC1024_Val',['../component_2wdt_8h.html#a24922404455be3a1c28d404d7428e97b',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc128_5fval_15417',['WDT_CONFIG_WINDOW_CYC128_Val',['../component_2wdt_8h.html#ad416dcc6288734247575eaddfe656d7c',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16384_5fval_15418',['WDT_CONFIG_WINDOW_CYC16384_Val',['../component_2wdt_8h.html#ac72140ef0438e63559f1bffac329d3f9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16_5fval_15419',['WDT_CONFIG_WINDOW_CYC16_Val',['../component_2wdt_8h.html#a1c19b5a551e3cf9e57c3291657fcaa85',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc2048_5fval_15420',['WDT_CONFIG_WINDOW_CYC2048_Val',['../component_2wdt_8h.html#a93691d3f0e1d8e71d7bd5aea7ac72a08',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc256_5fval_15421',['WDT_CONFIG_WINDOW_CYC256_Val',['../component_2wdt_8h.html#ae8ffa2201aa9c9f31e20c942b3a5cfc9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc32_5fval_15422',['WDT_CONFIG_WINDOW_CYC32_Val',['../component_2wdt_8h.html#a42465a866ecbf65f33e452beb05cb8d0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc4096_5fval_15423',['WDT_CONFIG_WINDOW_CYC4096_Val',['../component_2wdt_8h.html#a0197f2f68d745a025fc4a97540f34c62',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc512_5fval_15424',['WDT_CONFIG_WINDOW_CYC512_Val',['../component_2wdt_8h.html#a6df0e2a9ac9053130ab8673ec4801264',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc64_5fval_15425',['WDT_CONFIG_WINDOW_CYC64_Val',['../component_2wdt_8h.html#ac49da38933b208ae93a8e042ab11b6e0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8192_5fval_15426',['WDT_CONFIG_WINDOW_CYC8192_Val',['../component_2wdt_8h.html#ab3c375b315a145b56484d972888b7129',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8_5fval_15427',['WDT_CONFIG_WINDOW_CYC8_Val',['../component_2wdt_8h.html#a6c3961932249ae130fcfba2c4a7eb4d5',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fpos_15428',['WDT_CONFIG_WINDOW_Pos',['../component_2wdt_8h.html#ab2d3263bd9ad06e3257234d9da623ef8',1,'wdt.h']]],
['wdt_5fctrla_5falwayson_5fpos_15429',['WDT_CTRLA_ALWAYSON_Pos',['../component_2wdt_8h.html#a6b4e9162576f200eeaab0bfeaccbf2e2',1,'wdt.h']]],
['wdt_5fctrla_5fenable_5fpos_15430',['WDT_CTRLA_ENABLE_Pos',['../component_2wdt_8h.html#aa9c995e8a97dca656cabe42986621fa5',1,'wdt.h']]],
['wdt_5fctrla_5fmask_15431',['WDT_CTRLA_MASK',['../component_2wdt_8h.html#ac050a87c1be178866d39e2946773af8c',1,'wdt.h']]],
['wdt_5fctrla_5foffset_15432',['WDT_CTRLA_OFFSET',['../component_2wdt_8h.html#a03570806578a653a7b3f4ef9588a21a3',1,'wdt.h']]],
['wdt_5fctrla_5fresetvalue_15433',['WDT_CTRLA_RESETVALUE',['../component_2wdt_8h.html#a6c0fe1613fd8c8330c2da90121786102',1,'wdt.h']]],
['wdt_5fctrla_5ftype_15434',['WDT_CTRLA_Type',['../unionWDT__CTRLA__Type.html',1,'']]],
['wdt_5fctrla_5fwen_5fpos_15435',['WDT_CTRLA_WEN_Pos',['../component_2wdt_8h.html#ad4b6de3d877eecbb024d2a03ce192f77',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc1024_5fval_15436',['WDT_EWCTRL_EWOFFSET_CYC1024_Val',['../component_2wdt_8h.html#a3367bd5665970adb6317162a90160774',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc128_5fval_15437',['WDT_EWCTRL_EWOFFSET_CYC128_Val',['../component_2wdt_8h.html#a24a8548c24ad2cdf41ad77b241ec63dd',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16384_5fval_15438',['WDT_EWCTRL_EWOFFSET_CYC16384_Val',['../component_2wdt_8h.html#a901cf141d98d615ccc2103621f5a22b6',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16_5fval_15439',['WDT_EWCTRL_EWOFFSET_CYC16_Val',['../component_2wdt_8h.html#ab5ebce145846cd1b4d580d1b0ab55ffa',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc2048_5fval_15440',['WDT_EWCTRL_EWOFFSET_CYC2048_Val',['../component_2wdt_8h.html#ab0f7be4f8e1b1fca28f6aa34ffd55b20',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc256_5fval_15441',['WDT_EWCTRL_EWOFFSET_CYC256_Val',['../component_2wdt_8h.html#acc1a3dfab64345f1b995361254cd610d',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc32_5fval_15442',['WDT_EWCTRL_EWOFFSET_CYC32_Val',['../component_2wdt_8h.html#a30885a7b4794755b0b5b827d24d84a95',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc4096_5fval_15443',['WDT_EWCTRL_EWOFFSET_CYC4096_Val',['../component_2wdt_8h.html#a594b6b1082b7066707ca8b1913fa22b1',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc512_5fval_15444',['WDT_EWCTRL_EWOFFSET_CYC512_Val',['../component_2wdt_8h.html#a9de5c16a1592d6967a3fbf429af4ce75',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc64_5fval_15445',['WDT_EWCTRL_EWOFFSET_CYC64_Val',['../component_2wdt_8h.html#aac5bdb4b6c7616f440514dbd3dd59046',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8192_5fval_15446',['WDT_EWCTRL_EWOFFSET_CYC8192_Val',['../component_2wdt_8h.html#abe331c5db344d09c5081b32d7bc4f030',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8_5fval_15447',['WDT_EWCTRL_EWOFFSET_CYC8_Val',['../component_2wdt_8h.html#acf599641c504019b8fd7c49bca7098fc',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fpos_15448',['WDT_EWCTRL_EWOFFSET_Pos',['../component_2wdt_8h.html#abb7809711cdf296121c2108b55d3ad33',1,'wdt.h']]],
['wdt_5fewctrl_5fmask_15449',['WDT_EWCTRL_MASK',['../component_2wdt_8h.html#ad11e24dd62ea0905ed507f49f62c55c2',1,'wdt.h']]],
['wdt_5fewctrl_5foffset_15450',['WDT_EWCTRL_OFFSET',['../component_2wdt_8h.html#a6d95eafd522ac7c24f3c5bfd19e10af5',1,'wdt.h']]],
['wdt_5fewctrl_5fresetvalue_15451',['WDT_EWCTRL_RESETVALUE',['../component_2wdt_8h.html#a9379b9c03732aac0dc5eacfe28fd35f8',1,'wdt.h']]],
['wdt_5fewctrl_5ftype_15452',['WDT_EWCTRL_Type',['../unionWDT__EWCTRL__Type.html',1,'']]],
['wdt_5ffuses_5falwayson_5fpos_15453',['WDT_FUSES_ALWAYSON_Pos',['../component_2nvmctrl_8h.html#aad4f4fec9a3339faea07db386174b75e',1,'nvmctrl.h']]],
['wdt_5ffuses_5fenable_5fpos_15454',['WDT_FUSES_ENABLE_Pos',['../component_2nvmctrl_8h.html#a3e49258fa52a7aededcc7b3e54533ef5',1,'nvmctrl.h']]],
['wdt_5ffuses_5fewoffset_5fpos_15455',['WDT_FUSES_EWOFFSET_Pos',['../component_2nvmctrl_8h.html#ae2f89f15eabc293811402651af74d059',1,'nvmctrl.h']]],
['wdt_5ffuses_5fper_5fpos_15456',['WDT_FUSES_PER_Pos',['../component_2nvmctrl_8h.html#a87db8b2566c9dd04e5c0cb3838175952',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwen_5fpos_15457',['WDT_FUSES_WEN_Pos',['../component_2nvmctrl_8h.html#a87c9e50a26f5095b90217f854536d90f',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwindow_5fpos_15458',['WDT_FUSES_WINDOW_Pos',['../component_2nvmctrl_8h.html#a79ba176ad4ff912b404632c0d0f7541e',1,'nvmctrl.h']]],
['wdt_5finst_5fnum_15459',['WDT_INST_NUM',['../same54n19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p20a.h']]],
['wdt_5finsts_15460',['WDT_INSTS',['../same54n19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p20a.h']]],
['wdt_5fintenclr_5few_5fpos_15461',['WDT_INTENCLR_EW_Pos',['../component_2wdt_8h.html#acbfecace29fe0f43e6f3fb8799813157',1,'wdt.h']]],
['wdt_5fintenclr_5fmask_15462',['WDT_INTENCLR_MASK',['../component_2wdt_8h.html#a261d65af584086a561448aeedeabbd76',1,'wdt.h']]],
['wdt_5fintenclr_5foffset_15463',['WDT_INTENCLR_OFFSET',['../component_2wdt_8h.html#a98f37addcc6abfc015425013c3a39336',1,'wdt.h']]],
['wdt_5fintenclr_5fresetvalue_15464',['WDT_INTENCLR_RESETVALUE',['../component_2wdt_8h.html#a9e7f5a23ae81064086ecf4e877116ee0',1,'wdt.h']]],
['wdt_5fintenclr_5ftype_15465',['WDT_INTENCLR_Type',['../unionWDT__INTENCLR__Type.html',1,'']]],
['wdt_5fintenset_5few_5fpos_15466',['WDT_INTENSET_EW_Pos',['../component_2wdt_8h.html#ab3754e1861849b0fbb6d056b8b6ac398',1,'wdt.h']]],
['wdt_5fintenset_5fmask_15467',['WDT_INTENSET_MASK',['../component_2wdt_8h.html#a3b4c09a36c0f08d32b983e12e06c706f',1,'wdt.h']]],
['wdt_5fintenset_5foffset_15468',['WDT_INTENSET_OFFSET',['../component_2wdt_8h.html#a6497c59af95b3fd1aad2b074a75e4173',1,'wdt.h']]],
['wdt_5fintenset_5fresetvalue_15469',['WDT_INTENSET_RESETVALUE',['../component_2wdt_8h.html#a9ac75e52d30478302b59583789dca453',1,'wdt.h']]],
['wdt_5fintenset_5ftype_15470',['WDT_INTENSET_Type',['../unionWDT__INTENSET__Type.html',1,'']]],
['wdt_5fintflag_5few_5fpos_15471',['WDT_INTFLAG_EW_Pos',['../component_2wdt_8h.html#ad92b31e2c0815fceba1ace8b061ffe25',1,'wdt.h']]],
['wdt_5fintflag_5fmask_15472',['WDT_INTFLAG_MASK',['../component_2wdt_8h.html#a0f9add7d544318a00033f236441e048e',1,'wdt.h']]],
['wdt_5fintflag_5foffset_15473',['WDT_INTFLAG_OFFSET',['../component_2wdt_8h.html#a8ccc2de815933812cd609179de30fc5b',1,'wdt.h']]],
['wdt_5fintflag_5fresetvalue_15474',['WDT_INTFLAG_RESETVALUE',['../component_2wdt_8h.html#ac9159591ef9fa9ebb4958fa38ad9b56c',1,'wdt.h']]],
['wdt_5fintflag_5ftype_15475',['WDT_INTFLAG_Type',['../unionWDT__INTFLAG__Type.html',1,'']]],
['wdt_5firqn_15476',['WDT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p20a.h']]],
['wdt_5fsyncbusy_5falwayson_5fpos_15477',['WDT_SYNCBUSY_ALWAYSON_Pos',['../component_2wdt_8h.html#a963f6e267713299cc68adf831b29abba',1,'wdt.h']]],
['wdt_5fsyncbusy_5fclear_5fpos_15478',['WDT_SYNCBUSY_CLEAR_Pos',['../component_2wdt_8h.html#ab72171e5b655f45b9033b9dbff8f8901',1,'wdt.h']]],
['wdt_5fsyncbusy_5fenable_5fpos_15479',['WDT_SYNCBUSY_ENABLE_Pos',['../component_2wdt_8h.html#a5f9f84ef499127159a9edb0de1d36dcb',1,'wdt.h']]],
['wdt_5fsyncbusy_5fmask_15480',['WDT_SYNCBUSY_MASK',['../component_2wdt_8h.html#aaa251df37c6a6d581f6ccba3728ca873',1,'wdt.h']]],
['wdt_5fsyncbusy_5foffset_15481',['WDT_SYNCBUSY_OFFSET',['../component_2wdt_8h.html#a5ff5966b2f81dc8c7364dd59ed6c1ae1',1,'wdt.h']]],
['wdt_5fsyncbusy_5fresetvalue_15482',['WDT_SYNCBUSY_RESETVALUE',['../component_2wdt_8h.html#a875a34b4a1874949a2dfb5047cf57785',1,'wdt.h']]],
['wdt_5fsyncbusy_5ftype_15483',['WDT_SYNCBUSY_Type',['../unionWDT__SYNCBUSY__Type.html',1,'']]],
['wdt_5fsyncbusy_5fwen_5fpos_15484',['WDT_SYNCBUSY_WEN_Pos',['../component_2wdt_8h.html#a2ded1ef3f13790d024811b1764038d6e',1,'wdt.h']]],
['wdv_15485',['WDV',['../unionCAN__RWD__Type.html#a6f8b55d0c634555a47f898b75d3a2c7e',1,'CAN_RWD_Type']]],
['wen_15486',['WEN',['../unionWDT__CTRLA__Type.html#af4de0eaf8518e75745260f9087a016d9',1,'WDT_CTRLA_Type::WEN()'],['../unionWDT__SYNCBUSY__Type.html#abfb73650f4717cb850e065018d777e10',1,'WDT_SYNCBUSY_Type::WEN()']]],
['wen0_15487',['WEN0',['../unionAC__WINCTRL__Type.html#a72a4c9afb389393cb713615978a7813f',1,'AC_WINCTRL_Type']]],
['westat_15488',['WESTAT',['../unionGMAC__NCR__Type.html#a3ad39628765207bf47a7faba21e41fc5',1,'GMAC_NCR_Type']]],
['wexctrl_15489',['WEXCTRL',['../structTcc.html#a07d5bc9674cead25445060479c66acd1',1,'Tcc']]],
['width_15490',['WIDTH',['../unionQSPI__INSTRFRAME__Type.html#af4e9717d15fbd6daa759da9ab6308641',1,'QSPI_INSTRFRAME_Type']]],
['win_15491',['WIN',['../unionAC__INTENCLR__Type.html#a6552dbc0a8e405aaa50d1da95a81baa7',1,'AC_INTENCLR_Type::WIN()'],['../unionAC__INTENSET__Type.html#a15d52e6fc36216e401abb8029b2fdf54',1,'AC_INTENSET_Type::WIN()'],['../unionAC__INTFLAG__Type.html#a389125c1799b8dc8f0c9cf0d2761cb0c',1,'AC_INTFLAG_Type::WIN()']]],
['win0_15492',['WIN0',['../unionAC__INTENCLR__Type.html#aa0138a6b5c6c745c76b4e59b9b2b4f47',1,'AC_INTENCLR_Type::WIN0()'],['../unionAC__INTENSET__Type.html#a39873288bd0e9d091d0ae83d396d24da',1,'AC_INTENSET_Type::WIN0()'],['../unionAC__INTFLAG__Type.html#a83708cf4e9af92a15d890824bc1ea3a5',1,'AC_INTFLAG_Type::WIN0()']]],
['winctrl_15493',['WINCTRL',['../unionAC__SYNCBUSY__Type.html#a0b1dcb649b6fa53e53c743818544a46a',1,'AC_SYNCBUSY_Type::WINCTRL()'],['../structAc.html#aae674ade4d82dfa07869611331e97a65',1,'Ac::WINCTRL()']]],
['window_15494',['WINDOW',['../unionWDT__CONFIG__Type.html#a6212b9cf260c88d05859b6cbc0080182',1,'WDT_CONFIG_Type']]],
['wineo_15495',['WINEO',['../unionAC__EVCTRL__Type.html#ac26ab4051408af4f6ef5f28f54e9b7e2',1,'AC_EVCTRL_Type']]],
['wineo0_15496',['WINEO0',['../unionAC__EVCTRL__Type.html#a3436fe70198f6e81e92a2404bd178574',1,'AC_EVCTRL_Type']]],
['winerr_15497',['WINERR',['../unionPDEC__STATUS__Type.html#a0d3b54587e79518a494c58c68ff3d3e6',1,'PDEC_STATUS_Type']]],
['winlt_15498',['WINLT',['../unionADC__WINLT__Type.html#a035756415c5bd280e4ffbb100760674a',1,'ADC_WINLT_Type::WINLT()'],['../unionADC__SYNCBUSY__Type.html#a7a432c7721d7866af8d44cabd977e0ec',1,'ADC_SYNCBUSY_Type::WINLT()'],['../unionADC__DSEQCTRL__Type.html#a9e64b71eb573080288e065b399c6c4c3',1,'ADC_DSEQCTRL_Type::WINLT()'],['../unionADC__DSEQSTAT__Type.html#a5d893e08a68b32e2aa81ba1e09752cc1',1,'ADC_DSEQSTAT_Type::WINLT()'],['../structAdc.html#a8a6d9b6cf625bd8af5f6ad59ad484b73',1,'Adc::WINLT()']]],
['winmode_15499',['WINMODE',['../unionADC__CTRLB__Type.html#af76a4055e3e021db4865ea62d42dbc2a',1,'ADC_CTRLB_Type']]],
['winmon_15500',['WINMON',['../unionADC__INTENCLR__Type.html#a102bd20f5d0db4994d14ee58de5dce77',1,'ADC_INTENCLR_Type::WINMON()'],['../unionADC__INTENSET__Type.html#a241338a3153ffef3eb281857ff0ce239',1,'ADC_INTENSET_Type::WINMON()'],['../unionADC__INTFLAG__Type.html#a8304d989141bfc12191122d3594c5756',1,'ADC_INTFLAG_Type::WINMON()']]],
['winmoneo_15501',['WINMONEO',['../unionADC__EVCTRL__Type.html#ac9940eaccf8de887688bb552b1ce6da9',1,'ADC_EVCTRL_Type']]],
['winss_15502',['WINSS',['../unionADC__CTRLB__Type.html#accc2fb0af030de886be5984e80ac9afb',1,'ADC_CTRLB_Type']]],
['wintsel0_15503',['WINTSEL0',['../unionAC__WINCTRL__Type.html#a4c5609462535a1c98e79acb0526f0eae',1,'AC_WINCTRL_Type']]],
['winut_15504',['WINUT',['../unionADC__WINUT__Type.html#a7e2bf53ee179d543e656fb875f7dfd32',1,'ADC_WINUT_Type::WINUT()'],['../unionADC__SYNCBUSY__Type.html#a4aa98d01f17003d2bfa9ab2b9bdda2ed',1,'ADC_SYNCBUSY_Type::WINUT()'],['../unionADC__DSEQCTRL__Type.html#a8d8701c479e727df80d0894c6ecf9837',1,'ADC_DSEQCTRL_Type::WINUT()'],['../unionADC__DSEQSTAT__Type.html#a9cb85df75e8ec6d51bec9dc6ce47800f',1,'ADC_DSEQSTAT_Type::WINUT()'],['../structAdc.html#a486eb898066a8e9203b368556372a92d',1,'Adc::WINUT()']]],
['wkencins_15505',['WKENCINS',['../unionSDHC__WCR__Type.html#a7a802391a341669823f7d47887b4f2c4',1,'SDHC_WCR_Type']]],
['wkencint_15506',['WKENCINT',['../unionSDHC__WCR__Type.html#ac9ea218d2b9f3b4459150e9f244a5123',1,'SDHC_WCR_Type']]],
['wkencrem_15507',['WKENCREM',['../unionSDHC__WCR__Type.html#adbd09c145824cb5784f6c631c9044c8f',1,'SDHC_WCR_Type']]],
['wmode_15508',['WMODE',['../unionNVMCTRL__CTRLA__Type.html#adbd3afd8e391e8c2f43182d8e68f78a4',1,'NVMCTRL_CTRLA_Type::WMODE()'],['../unionNVMCTRL__SEECFG__Type.html#a2bdfd7f8f93cec37052eeecbd82092a6',1,'NVMCTRL_SEECFG_Type::WMODE()']]],
['wol_15509',['WOL',['../unionGMAC__ISR__Type.html#ac018273ad0ec277cacb857d2b521a1da',1,'GMAC_ISR_Type::WOL()'],['../unionGMAC__IER__Type.html#a221f16bd2b5112b8e0d646e8fd011ea9',1,'GMAC_IER_Type::WOL()'],['../unionGMAC__IDR__Type.html#a372dd7dafe879d186b8b119ea42ad9e1',1,'GMAC_IDR_Type::WOL()'],['../unionGMAC__IMR__Type.html#abdb32d7f0573910dac51a0f337f0e66d',1,'GMAC_IMR_Type::WOL()'],['../structGmac.html#ac83aa1c3bcf2abe89a8fb9a137a2492c',1,'Gmac::WOL()']]],
['wordadj_15510',['WORDADJ',['../unionI2S__TXCTRL__Type.html#af478084a4ad29de47ca3420f18d941b3',1,'I2S_TXCTRL_Type::WORDADJ()'],['../unionI2S__RXCTRL__Type.html#abeebc9aeaf04d8880477b48497ee7a7a',1,'I2S_RXCTRL_Type::WORDADJ()']]],
['woreg_15511',['WoReg',['../same54n19a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54n19a.h'],['../same54n20a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54n20a.h'],['../same54p19a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54p19a.h'],['../same54p20a_8h.html#ac0f96d4e8018367b38f527007cf0eafd',1,'WoReg():&#160;same54p20a.h']]],
['woreg16_15512',['WoReg16',['../same54n19a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54n19a.h'],['../same54n20a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54n20a.h'],['../same54p19a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54p19a.h'],['../same54p20a_8h.html#a0ab0e5f6c8301aa1c2068e511d854094',1,'WoReg16():&#160;same54p20a.h']]],
['woreg8_15513',['WoReg8',['../same54n19a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54n19a.h'],['../same54n20a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54n20a.h'],['../same54p19a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54p19a.h'],['../same54p20a_8h.html#a5e336e5a36ee12ebeafb021108e5275b',1,'WoReg8():&#160;same54p20a.h']]],
['wpcode_15514',['WPCODE',['../unionPICOP__CTRL__Type.html#a780c3b0e4e5f60d95a1c29e3492f2742',1,'PICOP_CTRL_Type']]],
['wpctx_15515',['WPCTX',['../unionPICOP__CTRL__Type.html#aafbea43ecb00ecb1e551014de95c6093',1,'PICOP_CTRL_Type']]],
['wpen_15516',['WPEN',['../unionPCC__WPMR__Type.html#a3f1c20e744960da1ff02379f711468df',1,'PCC_WPMR_Type']]],
['wpicache_15517',['WPICACHE',['../unionPICOP__CTRL__Type.html#a2cfd13f66b0c0b7186af3f4fc689d33e',1,'PICOP_CTRL_Type']]],
['wpkey_15518',['WPKEY',['../unionPCC__WPMR__Type.html#a209a7b4031fff6b268663581e66987c0',1,'PCC_WPMR_Type']]],
['wpmr_15519',['WPMR',['../structPcc.html#a4773bc88915b9d5405d3de9de0b13f28',1,'Pcc']]],
['wpsr_15520',['WPSR',['../structPcc.html#aa2759a62fec64920b9d9886645cebf65',1,'Pcc']]],
['wpvec_15521',['WPVEC',['../unionPICOP__CTRL__Type.html#a191fa5393b2a5c57d006a62048df4470',1,'PICOP_CTRL_Type']]],
['wpvs_15522',['WPVS',['../unionPCC__WPSR__Type.html#a335670e5d0cd2e672c9b8ae4defee1db',1,'PCC_WPSR_Type']]],
['wpvsrc_15523',['WPVSRC',['../unionPCC__WPSR__Type.html#ac7a1452b7a0d36d6e9ddafdc5b945cd3',1,'PCC_WPSR_Type']]],
['wrap_15524',['WRAP',['../unionICM__RCFG__Type.html#a3ca2d4233f98c9ca1a4839b012f736d2',1,'ICM_RCFG_Type']]],
['wrbaddr_15525',['WRBADDR',['../unionDMAC__WRBADDR__Type.html#a66f3638cf987a2efd733a03dd2b894c4',1,'DMAC_WRBADDR_Type::WRBADDR()'],['../structDmac.html#a08d749c7922d2792f766ae26a4c5d0a4',1,'Dmac::WRBADDR()']]],
['wrconfig_15526',['WRCONFIG',['../structPortGroup.html#a2db67b1c96ebd644f590b0b0e02b20c5',1,'PortGroup']]],
['wrctrl_15527',['WRCTRL',['../structPac.html#a4b2496dfffd2d47da5afc77c0b259338',1,'Pac']]],
['wrpincfg_15528',['WRPINCFG',['../unionPORT__WRCONFIG__Type.html#a96a58eebd76c15378400be16831a3455',1,'PORT_WRCONFIG_Type']]],
['wrpmux_15529',['WRPMUX',['../unionPORT__WRCONFIG__Type.html#a3fcee4fef27eba12a3e623065e245fde',1,'PORT_WRCONFIG_Type']]],
['wrppl_15530',['WRPPL',['../unionSDHC__PSR__Type.html#a6e41b96a1fc52992357aba4dceb899df',1,'SDHC_PSR_Type']]],
['wrtlock_15531',['WRTLOCK',['../unionGCLK__PCHCTRL__Type.html#a46f57bec63c52672a774ea486ffb2c41',1,'GCLK_PCHCTRL_Type::WRTLOCK()'],['../unionOSC32KCTRL__XOSC32K__Type.html#a62461155ed45e0321f3fc15254c748eb',1,'OSC32KCTRL_XOSC32K_Type::WRTLOCK()'],['../unionOSC32KCTRL__OSCULP32K__Type.html#aba63b989f1a3f81c02bfa60a297f4969',1,'OSC32KCTRL_OSCULP32K_Type::WRTLOCK()']]],
['wstate0_15532',['WSTATE0',['../unionAC__STATUSA__Type.html#ac8b9a13007924855fee58c699c5762c5',1,'AC_STATUSA_Type']]],
['wtact_15533',['WTACT',['../unionSDHC__PSR__Type.html#a3b5c8c53b207ac4ed720119c91c46aaf',1,'SDHC_PSR_Type']]],
['wtn_15534',['WTN',['../unionGMAC__MAN__Type.html#a7eba47676ba4f6627e909ddf18c1613f',1,'GMAC_MAN_Type']]],
['wuf_15535',['WUF',['../unionOSCCTRL__DPLLCTRLB__Type.html#a713199f045639957242168693bb983f0',1,'OSCCTRL_DPLLCTRLB_Type']]],
['wzo_15536',['WZO',['../unionGMAC__MAN__Type.html#a1b4e9982c460c13f05cc7eb03be526b4',1,'GMAC_MAN_Type']]]
];

@ -1,25 +1,25 @@
var searchData=
[
['xcol_15502',['XCOL',['../unionGMAC__EC__Type.html#a085c0ed30c3abfe3801a6f3ac301948d',1,'GMAC_EC_Type']]],
['xidam_15503',['XIDAM',['../structCan.html#adcc98d3489676d9a137b81b087d35999',1,'Can']]],
['xidfc_15504',['XIDFC',['../structCan.html#aeec13c348879f4f25d2489d0413cad1c',1,'Can']]],
['xidfe_5f0_15505',['XIDFE_0',['../structCanMramXifde.html#ad66bdcc001d92bea6990441159b8a224',1,'CanMramXifde']]],
['xidfe_5f1_15506',['XIDFE_1',['../structCanMramXifde.html#ad17a3643554cb7f093f9d4bd934c7e91',1,'CanMramXifde']]],
['xorkey_15507',['XORKEY',['../unionAES__CTRLA__Type.html#a872c1c272fe22e69f912cebe650df9b0',1,'AES_CTRLA_Type']]],
['xosc32k_15508',['XOSC32K',['../structOsc32kctrl.html#abc6f2c7fed0114a0843284a4656e8afe',1,'Osc32kctrl']]],
['xosc32kfail_15509',['XOSC32KFAIL',['../unionOSC32KCTRL__INTENCLR__Type.html#acbae6cfc736c8503ededb2014cb893da',1,'OSC32KCTRL_INTENCLR_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__INTENSET__Type.html#a778b6e8e689687f19b4872df5c9442a9',1,'OSC32KCTRL_INTENSET_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__INTFLAG__Type.html#a8b92bb4dffc3755a12ef355f278ebec3',1,'OSC32KCTRL_INTFLAG_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__STATUS__Type.html#a3c3b2796b9ca50d6f8ef0c636418bf5b',1,'OSC32KCTRL_STATUS_Type::XOSC32KFAIL()']]],
['xosc32krdy_15510',['XOSC32KRDY',['../unionOSC32KCTRL__INTENCLR__Type.html#a9767d93a8f34890e0fca6167ae2f34e7',1,'OSC32KCTRL_INTENCLR_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__INTENSET__Type.html#aae11a18ae465664ab32615eaa3d38e22',1,'OSC32KCTRL_INTENSET_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__INTFLAG__Type.html#a8ce6f0cac03c2259eaef81162a721792',1,'OSC32KCTRL_INTFLAG_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__STATUS__Type.html#ae90fc9ce9f80cd21ca28e2b450d15765',1,'OSC32KCTRL_STATUS_Type::XOSC32KRDY()']]],
['xosc32ksw_15511',['XOSC32KSW',['../unionOSC32KCTRL__STATUS__Type.html#a65fdb5c9e0f3427487c4e9a22ae17222',1,'OSC32KCTRL_STATUS_Type']]],
['xosccksw_15512',['XOSCCKSW',['../unionOSCCTRL__STATUS__Type.html#af1e646898d06d5e94d51c3f787c6ba89',1,'OSCCTRL_STATUS_Type']]],
['xosccksw0_15513',['XOSCCKSW0',['../unionOSCCTRL__STATUS__Type.html#ae6e7dd767c526e42046323be1b78b88f',1,'OSCCTRL_STATUS_Type']]],
['xosccksw1_15514',['XOSCCKSW1',['../unionOSCCTRL__STATUS__Type.html#af7d2b14082a98938b7ba8fde907f0bd8',1,'OSCCTRL_STATUS_Type']]],
['xoscctrl_15515',['XOSCCTRL',['../structOscctrl.html#a577961ed982b57a5500ad4863171cb52',1,'Oscctrl']]],
['xoscfail_15516',['XOSCFAIL',['../unionOSCCTRL__INTENCLR__Type.html#a17f1434546a200a555a1cb20f9ebbc1c',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL()'],['../unionOSCCTRL__INTENSET__Type.html#adac12ffa2859220a2faa02d1c9382aa4',1,'OSCCTRL_INTENSET_Type::XOSCFAIL()'],['../unionOSCCTRL__INTFLAG__Type.html#a85d16240f8ea8f26f1a41e946ce1ac81',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL()'],['../unionOSCCTRL__STATUS__Type.html#afe6c65dcb311854213e21a6ce299df40',1,'OSCCTRL_STATUS_Type::XOSCFAIL()']]],
['xoscfail0_15517',['XOSCFAIL0',['../unionOSCCTRL__INTENCLR__Type.html#aa28c9249763c07e5cbdd43b3d9c37b38',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL0()'],['../unionOSCCTRL__INTENSET__Type.html#a121f44a9fe620b3438acc78c129da26b',1,'OSCCTRL_INTENSET_Type::XOSCFAIL0()'],['../unionOSCCTRL__INTFLAG__Type.html#a97675627ce7a2c793607b0c60a7bb0bd',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL0()'],['../unionOSCCTRL__STATUS__Type.html#af2caa57b9a6fca371d689c82c7ad86b6',1,'OSCCTRL_STATUS_Type::XOSCFAIL0()']]],
['xoscfail1_15518',['XOSCFAIL1',['../unionOSCCTRL__INTENCLR__Type.html#a5224e287e09c188309367ebfd9e26a28',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL1()'],['../unionOSCCTRL__INTENSET__Type.html#ab7a319ff078e076c61cdd594c6b20601',1,'OSCCTRL_INTENSET_Type::XOSCFAIL1()'],['../unionOSCCTRL__INTFLAG__Type.html#a0063ac5d3a3b75713d0dd44b2538032a',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL1()'],['../unionOSCCTRL__STATUS__Type.html#a0ecff96e2007d9df24f6134f49aa1957',1,'OSCCTRL_STATUS_Type::XOSCFAIL1()']]],
['xoscrdy_15519',['XOSCRDY',['../unionOSCCTRL__INTENCLR__Type.html#a4cbab9b1056c66eb59af1acbb43a20c1',1,'OSCCTRL_INTENCLR_Type::XOSCRDY()'],['../unionOSCCTRL__INTENSET__Type.html#a6a9e6f7186a0c9cccb72c29ef41a34d0',1,'OSCCTRL_INTENSET_Type::XOSCRDY()'],['../unionOSCCTRL__INTFLAG__Type.html#adb2e69c6bb9c97ac4fe09705cf1cf753',1,'OSCCTRL_INTFLAG_Type::XOSCRDY()'],['../unionOSCCTRL__STATUS__Type.html#a6a8833a682776284b2d4f74f7a657725',1,'OSCCTRL_STATUS_Type::XOSCRDY()']]],
['xoscrdy0_15520',['XOSCRDY0',['../unionOSCCTRL__INTENCLR__Type.html#a83e3925b2f9f8bc78eb9898a30fa70be',1,'OSCCTRL_INTENCLR_Type::XOSCRDY0()'],['../unionOSCCTRL__INTENSET__Type.html#afe37798b768a6b61273987370b0cf50b',1,'OSCCTRL_INTENSET_Type::XOSCRDY0()'],['../unionOSCCTRL__INTFLAG__Type.html#a169e75c8282a413a27f6b6fdd18088d8',1,'OSCCTRL_INTFLAG_Type::XOSCRDY0()'],['../unionOSCCTRL__STATUS__Type.html#a597c6190c85843d7bc38827d5ab6d010',1,'OSCCTRL_STATUS_Type::XOSCRDY0()']]],
['xoscrdy1_15521',['XOSCRDY1',['../unionOSCCTRL__INTENCLR__Type.html#a9bcb9650050de7f3c8107c0652841f86',1,'OSCCTRL_INTENCLR_Type::XOSCRDY1()'],['../unionOSCCTRL__INTENSET__Type.html#a98d8021fb7eae9aebbef635cef53c38b',1,'OSCCTRL_INTENSET_Type::XOSCRDY1()'],['../unionOSCCTRL__INTFLAG__Type.html#ab063c9654a7b02ddeb767c24c8640509',1,'OSCCTRL_INTFLAG_Type::XOSCRDY1()'],['../unionOSCCTRL__STATUS__Type.html#ab25844e82a178ce32c9d0224b885ba05',1,'OSCCTRL_STATUS_Type::XOSCRDY1()']]],
['xtalen_15522',['XTALEN',['../unionOSC32KCTRL__XOSC32K__Type.html#a368a520c3ed615599b8cd16dba8efee3',1,'OSC32KCTRL_XOSC32K_Type::XTALEN()'],['../unionOSCCTRL__XOSCCTRL__Type.html#a566276e47e972aac7c872d07f6047a2a',1,'OSCCTRL_XOSCCTRL_Type::XTALEN()']]],
['xtd_15523',['XTD',['../unionCAN__RXBE__0__Type.html#a283856abb77aa3b975ad7af9e0ba045e',1,'CAN_RXBE_0_Type::XTD()'],['../unionCAN__RXF0E__0__Type.html#a7ccf8cb944412014d7f9c852421beef6',1,'CAN_RXF0E_0_Type::XTD()'],['../unionCAN__RXF1E__0__Type.html#a35c8e5f9e6462b15a05eda4ae23f3d3c',1,'CAN_RXF1E_0_Type::XTD()'],['../unionCAN__TXBE__0__Type.html#a80f6f13f6127d7110fdb366327bbad32',1,'CAN_TXBE_0_Type::XTD()'],['../unionCAN__TXEFE__0__Type.html#ac1d0ac004102d59a03121bff5fd4d278',1,'CAN_TXEFE_0_Type::XTD()']]]
['xcol_15537',['XCOL',['../unionGMAC__EC__Type.html#a085c0ed30c3abfe3801a6f3ac301948d',1,'GMAC_EC_Type']]],
['xidam_15538',['XIDAM',['../structCan.html#adcc98d3489676d9a137b81b087d35999',1,'Can']]],
['xidfc_15539',['XIDFC',['../structCan.html#aeec13c348879f4f25d2489d0413cad1c',1,'Can']]],
['xidfe_5f0_15540',['XIDFE_0',['../structCanMramXifde.html#ad66bdcc001d92bea6990441159b8a224',1,'CanMramXifde']]],
['xidfe_5f1_15541',['XIDFE_1',['../structCanMramXifde.html#ad17a3643554cb7f093f9d4bd934c7e91',1,'CanMramXifde']]],
['xorkey_15542',['XORKEY',['../unionAES__CTRLA__Type.html#a872c1c272fe22e69f912cebe650df9b0',1,'AES_CTRLA_Type']]],
['xosc32k_15543',['XOSC32K',['../structOsc32kctrl.html#abc6f2c7fed0114a0843284a4656e8afe',1,'Osc32kctrl']]],
['xosc32kfail_15544',['XOSC32KFAIL',['../unionOSC32KCTRL__INTENCLR__Type.html#acbae6cfc736c8503ededb2014cb893da',1,'OSC32KCTRL_INTENCLR_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__INTENSET__Type.html#a778b6e8e689687f19b4872df5c9442a9',1,'OSC32KCTRL_INTENSET_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__INTFLAG__Type.html#a8b92bb4dffc3755a12ef355f278ebec3',1,'OSC32KCTRL_INTFLAG_Type::XOSC32KFAIL()'],['../unionOSC32KCTRL__STATUS__Type.html#a3c3b2796b9ca50d6f8ef0c636418bf5b',1,'OSC32KCTRL_STATUS_Type::XOSC32KFAIL()']]],
['xosc32krdy_15545',['XOSC32KRDY',['../unionOSC32KCTRL__INTENCLR__Type.html#a9767d93a8f34890e0fca6167ae2f34e7',1,'OSC32KCTRL_INTENCLR_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__INTENSET__Type.html#aae11a18ae465664ab32615eaa3d38e22',1,'OSC32KCTRL_INTENSET_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__INTFLAG__Type.html#a8ce6f0cac03c2259eaef81162a721792',1,'OSC32KCTRL_INTFLAG_Type::XOSC32KRDY()'],['../unionOSC32KCTRL__STATUS__Type.html#ae90fc9ce9f80cd21ca28e2b450d15765',1,'OSC32KCTRL_STATUS_Type::XOSC32KRDY()']]],
['xosc32ksw_15546',['XOSC32KSW',['../unionOSC32KCTRL__STATUS__Type.html#a65fdb5c9e0f3427487c4e9a22ae17222',1,'OSC32KCTRL_STATUS_Type']]],
['xosccksw_15547',['XOSCCKSW',['../unionOSCCTRL__STATUS__Type.html#af1e646898d06d5e94d51c3f787c6ba89',1,'OSCCTRL_STATUS_Type']]],
['xosccksw0_15548',['XOSCCKSW0',['../unionOSCCTRL__STATUS__Type.html#ae6e7dd767c526e42046323be1b78b88f',1,'OSCCTRL_STATUS_Type']]],
['xosccksw1_15549',['XOSCCKSW1',['../unionOSCCTRL__STATUS__Type.html#af7d2b14082a98938b7ba8fde907f0bd8',1,'OSCCTRL_STATUS_Type']]],
['xoscctrl_15550',['XOSCCTRL',['../structOscctrl.html#a577961ed982b57a5500ad4863171cb52',1,'Oscctrl']]],
['xoscfail_15551',['XOSCFAIL',['../unionOSCCTRL__INTENCLR__Type.html#a17f1434546a200a555a1cb20f9ebbc1c',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL()'],['../unionOSCCTRL__INTENSET__Type.html#adac12ffa2859220a2faa02d1c9382aa4',1,'OSCCTRL_INTENSET_Type::XOSCFAIL()'],['../unionOSCCTRL__INTFLAG__Type.html#a85d16240f8ea8f26f1a41e946ce1ac81',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL()'],['../unionOSCCTRL__STATUS__Type.html#afe6c65dcb311854213e21a6ce299df40',1,'OSCCTRL_STATUS_Type::XOSCFAIL()']]],
['xoscfail0_15552',['XOSCFAIL0',['../unionOSCCTRL__INTENCLR__Type.html#aa28c9249763c07e5cbdd43b3d9c37b38',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL0()'],['../unionOSCCTRL__INTENSET__Type.html#a121f44a9fe620b3438acc78c129da26b',1,'OSCCTRL_INTENSET_Type::XOSCFAIL0()'],['../unionOSCCTRL__INTFLAG__Type.html#a97675627ce7a2c793607b0c60a7bb0bd',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL0()'],['../unionOSCCTRL__STATUS__Type.html#af2caa57b9a6fca371d689c82c7ad86b6',1,'OSCCTRL_STATUS_Type::XOSCFAIL0()']]],
['xoscfail1_15553',['XOSCFAIL1',['../unionOSCCTRL__INTENCLR__Type.html#a5224e287e09c188309367ebfd9e26a28',1,'OSCCTRL_INTENCLR_Type::XOSCFAIL1()'],['../unionOSCCTRL__INTENSET__Type.html#ab7a319ff078e076c61cdd594c6b20601',1,'OSCCTRL_INTENSET_Type::XOSCFAIL1()'],['../unionOSCCTRL__INTFLAG__Type.html#a0063ac5d3a3b75713d0dd44b2538032a',1,'OSCCTRL_INTFLAG_Type::XOSCFAIL1()'],['../unionOSCCTRL__STATUS__Type.html#a0ecff96e2007d9df24f6134f49aa1957',1,'OSCCTRL_STATUS_Type::XOSCFAIL1()']]],
['xoscrdy_15554',['XOSCRDY',['../unionOSCCTRL__INTENCLR__Type.html#a4cbab9b1056c66eb59af1acbb43a20c1',1,'OSCCTRL_INTENCLR_Type::XOSCRDY()'],['../unionOSCCTRL__INTENSET__Type.html#a6a9e6f7186a0c9cccb72c29ef41a34d0',1,'OSCCTRL_INTENSET_Type::XOSCRDY()'],['../unionOSCCTRL__INTFLAG__Type.html#adb2e69c6bb9c97ac4fe09705cf1cf753',1,'OSCCTRL_INTFLAG_Type::XOSCRDY()'],['../unionOSCCTRL__STATUS__Type.html#a6a8833a682776284b2d4f74f7a657725',1,'OSCCTRL_STATUS_Type::XOSCRDY()']]],
['xoscrdy0_15555',['XOSCRDY0',['../unionOSCCTRL__INTENCLR__Type.html#a83e3925b2f9f8bc78eb9898a30fa70be',1,'OSCCTRL_INTENCLR_Type::XOSCRDY0()'],['../unionOSCCTRL__INTENSET__Type.html#afe37798b768a6b61273987370b0cf50b',1,'OSCCTRL_INTENSET_Type::XOSCRDY0()'],['../unionOSCCTRL__INTFLAG__Type.html#a169e75c8282a413a27f6b6fdd18088d8',1,'OSCCTRL_INTFLAG_Type::XOSCRDY0()'],['../unionOSCCTRL__STATUS__Type.html#a597c6190c85843d7bc38827d5ab6d010',1,'OSCCTRL_STATUS_Type::XOSCRDY0()']]],
['xoscrdy1_15556',['XOSCRDY1',['../unionOSCCTRL__INTENCLR__Type.html#a9bcb9650050de7f3c8107c0652841f86',1,'OSCCTRL_INTENCLR_Type::XOSCRDY1()'],['../unionOSCCTRL__INTENSET__Type.html#a98d8021fb7eae9aebbef635cef53c38b',1,'OSCCTRL_INTENSET_Type::XOSCRDY1()'],['../unionOSCCTRL__INTFLAG__Type.html#ab063c9654a7b02ddeb767c24c8640509',1,'OSCCTRL_INTFLAG_Type::XOSCRDY1()'],['../unionOSCCTRL__STATUS__Type.html#ab25844e82a178ce32c9d0224b885ba05',1,'OSCCTRL_STATUS_Type::XOSCRDY1()']]],
['xtalen_15557',['XTALEN',['../unionOSC32KCTRL__XOSC32K__Type.html#a368a520c3ed615599b8cd16dba8efee3',1,'OSC32KCTRL_XOSC32K_Type::XTALEN()'],['../unionOSCCTRL__XOSCCTRL__Type.html#a566276e47e972aac7c872d07f6047a2a',1,'OSCCTRL_XOSCCTRL_Type::XTALEN()']]],
['xtd_15558',['XTD',['../unionCAN__RXBE__0__Type.html#a283856abb77aa3b975ad7af9e0ba045e',1,'CAN_RXBE_0_Type::XTD()'],['../unionCAN__RXF0E__0__Type.html#a7ccf8cb944412014d7f9c852421beef6',1,'CAN_RXF0E_0_Type::XTD()'],['../unionCAN__RXF1E__0__Type.html#a35c8e5f9e6462b15a05eda4ae23f3d3c',1,'CAN_RXF1E_0_Type::XTD()'],['../unionCAN__TXBE__0__Type.html#a80f6f13f6127d7110fdb366327bbad32',1,'CAN_TXBE_0_Type::XTD()'],['../unionCAN__TXEFE__0__Type.html#ac1d0ac004102d59a03121bff5fd4d278',1,'CAN_TXEFE_0_Type::XTD()']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['year_15524',['YEAR',['../unionRTC__MODE2__CLOCK__Type.html#ad3e47c911232e74d224c24bf3cf1f4f3',1,'RTC_MODE2_CLOCK_Type::YEAR()'],['../unionRTC__MODE2__ALARM__Type.html#a61dda2d86f606efc043fcb2a9156889d',1,'RTC_MODE2_ALARM_Type::YEAR()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a7743c612000dcadcb70f6559e66621b7',1,'RTC_MODE2_TIMESTAMP_Type::YEAR()']]]
['year_15559',['YEAR',['../unionRTC__MODE2__CLOCK__Type.html#ad3e47c911232e74d224c24bf3cf1f4f3',1,'RTC_MODE2_CLOCK_Type::YEAR()'],['../unionRTC__MODE2__ALARM__Type.html#a61dda2d86f606efc043fcb2a9156889d',1,'RTC_MODE2_ALARM_Type::YEAR()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a7743c612000dcadcb70f6559e66621b7',1,'RTC_MODE2_TIMESTAMP_Type::YEAR()']]]
];

@ -1417,111 +1417,146 @@ var searchData=
['conf_5fcore_5fclk_5fdfll_5fwaitlock_2243',['CONF_CORE_CLK_DFLL_WAITLOCK',['../conf__core_8h.html#a1f088d6654bb907ff388ced455b2dbb2',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fdpll0_5ffilter_2244',['CONF_CORE_CLK_DPLL0_FILTER',['../conf__core_8h.html#a5cd9abca6f486eaebb6ddd236960b01a',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fdpll1_5ffilter_2245',['CONF_CORE_CLK_DPLL1_FILTER',['../conf__core_8h.html#a9de3ab7eb7e3c001d6aa7040f6311f10',1,'conf_core.h']]],
['conf_5fcore_5fcmcc_5fenable_2246',['CONF_CORE_CMCC_ENABLE',['../conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405',1,'conf_core.h']]],
['conf_5fcore_5fdma_5fenable_2247',['CONF_CORE_DMA_ENABLE',['../conf__core_8h.html#a16a34b830edda5b571c41a0f865f7051',1,'conf_core.h']]],
['conf_5fcore_5fmclk_5fcpudiv_2248',['CONF_CORE_MCLK_CPUDIV',['../conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529',1,'conf_core.h']]],
['conf_5fcore_5fmclk_5fnvm_5fwait_5fstate_2249',['CONF_CORE_MCLK_NVM_WAIT_STATE',['../conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf',1,'conf_core.h']]],
['config_2250',['CONFIG',['../structEic.html#a95e5655d7106c582cb9e93e65825309b',1,'Eic::CONFIG()'],['../structPicop.html#ab99dc22d2d64a4866480beb8b9cbe452',1,'Picop::CONFIG()'],['../structWdt.html#afa500bbe59058bbea80215803b435516',1,'Wdt::CONFIG()']]],
['contr_2251',['CONTR',['../unionSDHC__BGCR__Type.html#ac966baac60ff09d3db645c3548403da7',1,'SDHC_BGCR_Type']]],
['copen_2252',['COPEN',['../unionTC__CTRLA__Type.html#a96929bb3941ce4304ff533d980eb5bd6',1,'TC_CTRLA_Type']]],
['copen0_2253',['COPEN0',['../unionTC__CTRLA__Type.html#a861361206908b98aa37fa73597540b10',1,'TC_CTRLA_Type']]],
['copen1_2254',['COPEN1',['../unionTC__CTRLA__Type.html#acc3ece336c0f78100cce3fa5747adce0',1,'TC_CTRLA_Type']]],
['corren_2255',['CORREN',['../unionADC__CTRLB__Type.html#a74e341c6437af3f7322190a824f6d405',1,'ADC_CTRLB_Type']]],
['count_2256',['COUNT',['../unionPDEC__SYNCBUSY__Type.html#a634ac9c34ecd71b7029866f018f68399',1,'PDEC_SYNCBUSY_Type::COUNT()'],['../unionPDEC__COUNT__Type.html#ae4192cbeb6a700b067b5ce016fb2a509',1,'PDEC_COUNT_Type::COUNT()'],['../structPdec.html#a7883ce5294d10e0fa8c77b4a86421862',1,'Pdec::COUNT()'],['../unionRTC__MODE0__SYNCBUSY__Type.html#a6f255530fe0a8455abd488d8631b2fe9',1,'RTC_MODE0_SYNCBUSY_Type::COUNT()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#aa496d07ac27a207113bd77123d141da0',1,'RTC_MODE1_SYNCBUSY_Type::COUNT()'],['../unionRTC__MODE0__COUNT__Type.html#a6e864c384400f6ef6bf0ba47dd50f496',1,'RTC_MODE0_COUNT_Type::COUNT()'],['../unionRTC__MODE1__COUNT__Type.html#a7d721f68501a6c0226a8ca2051dd79ca',1,'RTC_MODE1_COUNT_Type::COUNT()'],['../unionRTC__MODE0__TIMESTAMP__Type.html#a426cec05da891bb13aae709fa87d32f7',1,'RTC_MODE0_TIMESTAMP_Type::COUNT()'],['../unionRTC__MODE1__TIMESTAMP__Type.html#aa0f6ef0db0f2dc12db613b7c9f5ea710',1,'RTC_MODE1_TIMESTAMP_Type::COUNT()'],['../structRtcMode0.html#a1925fbea34cbf2e2c580607b15cb88c0',1,'RtcMode0::COUNT()'],['../structRtcMode1.html#a53d7f6e32fe5ec2a00d23a27d553d8c4',1,'RtcMode1::COUNT()'],['../unionTC__SYNCBUSY__Type.html#aceba06dc7bc4721c03d2202bcbde4f8f',1,'TC_SYNCBUSY_Type::COUNT()'],['../unionTC__COUNT16__COUNT__Type.html#aaf8a9c8c8b3f8dbc70cdca21667ededc',1,'TC_COUNT16_COUNT_Type::COUNT()'],['../unionTC__COUNT32__COUNT__Type.html#a5ad01aaa47460a80d2a8c8f1fff87537',1,'TC_COUNT32_COUNT_Type::COUNT()'],['../unionTC__COUNT8__COUNT__Type.html#a9fea17761d4bb72c7282cb1eab77bfe7',1,'TC_COUNT8_COUNT_Type::COUNT()'],['../structTcCount8.html#a8eb17b36ee1d22d0fcc456fe1e997c27',1,'TcCount8::COUNT()'],['../structTcCount16.html#acb9a47b2d1577305b71748c3e6a0d418',1,'TcCount16::COUNT()'],['../structTcCount32.html#a03065901d7c4f3effcf6b4d3e24bb1ea',1,'TcCount32::COUNT()'],['../unionTCC__SYNCBUSY__Type.html#af8b89dbed318a0658fe4e64df7a8facd',1,'TCC_SYNCBUSY_Type::COUNT()'],['../unionTCC__COUNT__Type.html#a4c85cbbfca12793f2f149f67fa6c627f',1,'TCC_COUNT_Type::COUNT()'],['../structTcc.html#a8ee2b473a9570d65643b9b3251cb31ce',1,'Tcc::COUNT()']]],
['count16_2257',['COUNT16',['../unionTc.html#a412733fd8d10b718fdad06d012b2e083',1,'Tc']]],
['count32_2258',['COUNT32',['../unionTc.html#a9d8e26c702141ac10b008be47152ac2c',1,'Tc']]],
['count8_2259',['COUNT8',['../unionTc.html#ab10f40f06530569d88a9db8904ef5232',1,'Tc']]],
['countsync_2260',['COUNTSYNC',['../unionRTC__MODE0__CTRLA__Type.html#a76e4065ae43bab32a49713f86d2f67ab',1,'RTC_MODE0_CTRLA_Type::COUNTSYNC()'],['../unionRTC__MODE1__CTRLA__Type.html#a4d187bd4471d594a997f6d12b3335202',1,'RTC_MODE1_CTRLA_Type::COUNTSYNC()'],['../unionRTC__MODE0__SYNCBUSY__Type.html#ac440da829bf9b18a077eeadcdd5882f9',1,'RTC_MODE0_SYNCBUSY_Type::COUNTSYNC()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#a427232b0cb99d7df1295c7e13af5256a',1,'RTC_MODE1_SYNCBUSY_Type::COUNTSYNC()']]],
['cpha_2261',['CPHA',['../unionQSPI__BAUD__Type.html#a9ce4956564c40a25a9df46c5954e4f6f',1,'QSPI_BAUD_Type::CPHA()'],['../unionSERCOM__SPI__CTRLA__Type.html#ab5e07b366fc06c5ea67a0e7756371217',1,'SERCOM_SPI_CTRLA_Type::CPHA()']]],
['cpol_2262',['CPOL',['../unionQSPI__BAUD__Type.html#a1fff893bd4e78a90f2ed61a48132173f',1,'QSPI_BAUD_Type::CPOL()'],['../unionSERCOM__SPI__CTRLA__Type.html#ae2dd1f145073fa400dc2346b1b2ae370',1,'SERCOM_SPI_CTRLA_Type::CPOL()'],['../unionSERCOM__USART__CTRLA__Type.html#a2944e6fc9ccf24a94275c51776ff386c',1,'SERCOM_USART_CTRLA_Type::CPOL()']]],
['cpten_2263',['CPTEN',['../unionTCC__CTRLA__Type.html#a427f95afaa9b890df9c1ac8b141b9f6a',1,'TCC_CTRLA_Type']]],
['cpten0_2264',['CPTEN0',['../unionTCC__CTRLA__Type.html#a49d26f9399d1b8704a9284b51a206b7e',1,'TCC_CTRLA_Type']]],
['cpten1_2265',['CPTEN1',['../unionTCC__CTRLA__Type.html#a0716f136bfbab2eea372cc7f3ba8e5c0',1,'TCC_CTRLA_Type']]],
['cpten2_2266',['CPTEN2',['../unionTCC__CTRLA__Type.html#aedb3ef6c6c600356568e385727ab6ba4',1,'TCC_CTRLA_Type']]],
['cpten3_2267',['CPTEN3',['../unionTCC__CTRLA__Type.html#a4dd7e062b3ab1a43b4627c2ade1e7b68',1,'TCC_CTRLA_Type']]],
['cpten4_2268',['CPTEN4',['../unionTCC__CTRLA__Type.html#a832d2cc6a43c360f9f17cf8803fbe6e0',1,'TCC_CTRLA_Type']]],
['cpten5_2269',['CPTEN5',['../unionTCC__CTRLA__Type.html#a2a2e63161f23bb8cdc8745d5e9cfed9a',1,'TCC_CTRLA_Type']]],
['cpudiv_2270',['CPUDIV',['../structMclk.html#a4a4de422fd7e853df9d7b167f0b1779e',1,'Mclk']]],
['cqos_2271',['CQOS',['../unionUSB__QOSCTRL__Type.html#a65312b6440dfac5e46e2781c55b70d3f',1,'USB_QOSCTRL_Type']]],
['cr_2272',['CR',['../structSdhc.html#acd65c4184a194d80c1dfb1a2a9feb547',1,'Sdhc']]],
['cr0_2273',['CR0',['../unionCAN__TXBCR__Type.html#a7487911ce08f3e0795648c812cca1a5e',1,'CAN_TXBCR_Type']]],
['cr1_2274',['CR1',['../unionCAN__TXBCR__Type.html#a607ce9305284c2dc1d55badba524c169',1,'CAN_TXBCR_Type']]],
['cr10_2275',['CR10',['../unionCAN__TXBCR__Type.html#a2769edb15328f5045b590596ed575202',1,'CAN_TXBCR_Type']]],
['cr11_2276',['CR11',['../unionCAN__TXBCR__Type.html#ae27582deb3c6dc300f6e5ca75a8cc40d',1,'CAN_TXBCR_Type']]],
['cr12_2277',['CR12',['../unionCAN__TXBCR__Type.html#ad11406b5d80fe87704355a4b31e11f80',1,'CAN_TXBCR_Type']]],
['cr13_2278',['CR13',['../unionCAN__TXBCR__Type.html#a358135e6dce23c976abc1ab5c28408f7',1,'CAN_TXBCR_Type']]],
['cr14_2279',['CR14',['../unionCAN__TXBCR__Type.html#acd65dd2edaf2bd523181985c71332a40',1,'CAN_TXBCR_Type']]],
['cr15_2280',['CR15',['../unionCAN__TXBCR__Type.html#a64079942ee626260f958fee0a51eff7f',1,'CAN_TXBCR_Type']]],
['cr16_2281',['CR16',['../unionCAN__TXBCR__Type.html#a8a126e6e3372842169f514658eb389eb',1,'CAN_TXBCR_Type']]],
['cr17_2282',['CR17',['../unionCAN__TXBCR__Type.html#a156ee9042bdd6f05e0aec30edfc77771',1,'CAN_TXBCR_Type']]],
['cr18_2283',['CR18',['../unionCAN__TXBCR__Type.html#ad01041062c695138fd02aadfc77422da',1,'CAN_TXBCR_Type']]],
['cr19_2284',['CR19',['../unionCAN__TXBCR__Type.html#ac5963bd1bfdfcd7984c83a9591cbf896',1,'CAN_TXBCR_Type']]],
['cr2_2285',['CR2',['../unionCAN__TXBCR__Type.html#a24c0b165d0e2483d880fbd572549d9a4',1,'CAN_TXBCR_Type']]],
['cr20_2286',['CR20',['../unionCAN__TXBCR__Type.html#ab0c7e996a5ff40322124bfc6e9803a49',1,'CAN_TXBCR_Type']]],
['cr21_2287',['CR21',['../unionCAN__TXBCR__Type.html#a431b2482473b8b2a664d1f5ed716a94f',1,'CAN_TXBCR_Type']]],
['cr22_2288',['CR22',['../unionCAN__TXBCR__Type.html#a50b881dd5a7354ba6bfe118d4a0f4ae7',1,'CAN_TXBCR_Type']]],
['cr23_2289',['CR23',['../unionCAN__TXBCR__Type.html#a51d3109c0e50284b33cb0588157fc199',1,'CAN_TXBCR_Type']]],
['cr24_2290',['CR24',['../unionCAN__TXBCR__Type.html#a22b7e189003196da4ff27c3329dd74e3',1,'CAN_TXBCR_Type']]],
['cr25_2291',['CR25',['../unionCAN__TXBCR__Type.html#ae296a8cbd3e8cdeee91d91da406a8c9e',1,'CAN_TXBCR_Type']]],
['cr26_2292',['CR26',['../unionCAN__TXBCR__Type.html#a7755e9a6406333f668c14ccfdcc679ef',1,'CAN_TXBCR_Type']]],
['cr27_2293',['CR27',['../unionCAN__TXBCR__Type.html#ab24ec7bb1da15d2d7c0c7f4fe0387d25',1,'CAN_TXBCR_Type']]],
['cr28_2294',['CR28',['../unionCAN__TXBCR__Type.html#ae9514532eb19c90b0c2af46e25cddf83',1,'CAN_TXBCR_Type']]],
['cr29_2295',['CR29',['../unionCAN__TXBCR__Type.html#a58ca0b2818bed662cb698621cc6c3485',1,'CAN_TXBCR_Type']]],
['cr3_2296',['CR3',['../unionCAN__TXBCR__Type.html#a4d0fa19abdaef912f2ba93abccd71cce',1,'CAN_TXBCR_Type']]],
['cr30_2297',['CR30',['../unionCAN__TXBCR__Type.html#a3a3ae6aed0b08aa65c4fc896aecd98a4',1,'CAN_TXBCR_Type']]],
['cr31_2298',['CR31',['../unionCAN__TXBCR__Type.html#a640cd244e9a5070dedebba6f294e0f2c',1,'CAN_TXBCR_Type']]],
['cr4_2299',['CR4',['../unionCAN__TXBCR__Type.html#a6b9743ae67c0eecfcc89bda1ab328b94',1,'CAN_TXBCR_Type']]],
['cr5_2300',['CR5',['../unionCAN__TXBCR__Type.html#a08b592ebc2f1414fe3c5e7bf4d6743fd',1,'CAN_TXBCR_Type']]],
['cr6_2301',['CR6',['../unionCAN__TXBCR__Type.html#a7fceb0f0590ba92225ef2504a9cf32f7',1,'CAN_TXBCR_Type']]],
['cr7_2302',['CR7',['../unionCAN__TXBCR__Type.html#a06d87af75e428de9cfd952a680355cd5',1,'CAN_TXBCR_Type']]],
['cr8_2303',['CR8',['../unionCAN__TXBCR__Type.html#ab552d6a97f58004965bd6ada1e706a44',1,'CAN_TXBCR_Type']]],
['cr9_2304',['CR9',['../unionCAN__TXBCR__Type.html#a44f591cce99d83feb4b6ababd3a8ecc7',1,'CAN_TXBCR_Type']]],
['crc_2305',['CRC',['../unionDMAC__DSTADDR__Type.html#a66be7e4a69b0412bdad1202e244ffcba',1,'DMAC_DSTADDR_Type::CRC()'],['../unionDSU__CTRL__Type.html#a9a351c7d3ed4db72fe199f29441b24e4',1,'DSU_CTRL_Type::CRC()']]],
['crc16er_2306',['CRC16ER',['../unionUSB__HOST__STATUS__PIPE__Type.html#ae7b5e9d645d30c4430d41a71d02aa6b1',1,'USB_HOST_STATUS_PIPE_Type']]],
['crcbeatsize_2307',['CRCBEATSIZE',['../unionDMAC__CRCCTRL__Type.html#a2fb9eb8e861d355adc9bddceb9961f7c',1,'DMAC_CRCCTRL_Type']]],
['crcbusy_2308',['CRCBUSY',['../unionDMAC__CRCSTATUS__Type.html#a4a5216e50f70acc3797e66cb86ab50fb',1,'DMAC_CRCSTATUS_Type']]],
['crcchksum_2309',['CRCCHKSUM',['../unionDMAC__CRCCHKSUM__Type.html#a3f1943308686b5ead58a7dab389cc1c2',1,'DMAC_CRCCHKSUM_Type::CRCCHKSUM()'],['../structDmac.html#a707e8e96b25fa7bb7b1495253e727e79',1,'Dmac::CRCCHKSUM()']]],
['crcctrl_2310',['CRCCTRL',['../structDmac.html#a12414ace3ca825d274fa41bc8453578b',1,'Dmac']]],
['crcdatain_2311',['CRCDATAIN',['../unionDMAC__CRCDATAIN__Type.html#a8afe23c7ebbbf2da812813e84894f753',1,'DMAC_CRCDATAIN_Type::CRCDATAIN()'],['../structDmac.html#ad3a090166a092799acfbc1ee5d4e2754',1,'Dmac::CRCDATAIN()']]],
['crcerr_2312',['CRCERR',['../unionDMAC__CRCSTATUS__Type.html#a6d763e46cdeb347329cc3d412c8e84c8',1,'DMAC_CRCSTATUS_Type::CRCERR()'],['../unionDMAC__INTPEND__Type.html#aa76815df5a712a2ebc485e7dbc7fa8e7',1,'DMAC_INTPEND_Type::CRCERR()'],['../unionDMAC__CHSTATUS__Type.html#a3d7ebbbaf1429065a327a37c8b9292e5',1,'DMAC_CHSTATUS_Type::CRCERR()'],['../unionUSB__DEVICE__STATUS__BK__Type.html#a799ad2dc8bc806d71fafa52aea2e91b7',1,'USB_DEVICE_STATUS_BK_Type::CRCERR()'],['../unionUSB__HOST__STATUS__BK__Type.html#a559505d098802441e343725557d9f5be',1,'USB_HOST_STATUS_BK_Type::CRCERR()']]],
['crcmode_2313',['CRCMODE',['../unionDMAC__CRCCTRL__Type.html#ac76f730a15fb90922f4f74677c09248a',1,'DMAC_CRCCTRL_Type']]],
['crcpoly_2314',['CRCPOLY',['../unionDMAC__CRCCTRL__Type.html#ac88bc441b93de83f6e99580895681431',1,'DMAC_CRCCTRL_Type']]],
['crcsrc_2315',['CRCSRC',['../unionDMAC__CRCCTRL__Type.html#a461a4b8e798cc8d25e862e12c1b6196d',1,'DMAC_CRCCTRL_Type']]],
['crcstatus_2316',['CRCSTATUS',['../structDmac.html#a71ddfa13f7a06dafff0134b78932d36f',1,'Dmac']]],
['crczero_2317',['CRCZERO',['../unionDMAC__CRCSTATUS__Type.html#a9e3c10bbd842368e87745939e3ffa092',1,'DMAC_CRCSTATUS_Type']]],
['crel_2318',['CREL',['../structCan.html#a9b0658634d3f2a9dbd4b899c54893511',1,'Can']]],
['crem_2319',['CREM',['../unionSDHC__NISTR__Type.html#a63f06031fb0f7e567b60e3c6657ae067',1,'SDHC_NISTR_Type::CREM()'],['../unionSDHC__NISTER__Type.html#a697d07bdb334004d1d99298d31d7643c',1,'SDHC_NISTER_Type::CREM()'],['../unionSDHC__NISIER__Type.html#a379f73410c99a68beab82d57c6477daf',1,'SDHC_NISIER_Type::CREM()']]],
['crmode_2320',['CRMODE',['../unionQSPI__INSTRFRAME__Type.html#abbcb827971433af94254a9c7eb9c11bd',1,'QSPI_INSTRFRAME_Type']]],
['crstext_2321',['CRSTEXT',['../unionDSU__STATUSA__Type.html#aa8f9dffc31935fc4537884bf167786df',1,'DSU_STATUSA_Type']]],
['csa_2322',['CSA',['../unionCAN__CCCR__Type.html#a2b4daf032320ac0c9e4ceb04eab23bbc',1,'CAN_CCCR_Type']]],
['cse_2323',['CSE',['../structGmac.html#aa88f7691858e954430747f4431ad75bd',1,'Gmac']]],
['csize_2324',['CSIZE',['../unionCMCC__TYPE__Type.html#a56b689cc2937945413f7e7518f75b20e',1,'CMCC_TYPE_Type']]],
['csizesw_2325',['CSIZESW',['../unionCMCC__CFG__Type.html#a01e26bfeb199c4c73660e39d0327a431',1,'CMCC_CFG_Type']]],
['csmode_2326',['CSMODE',['../unionQSPI__CTRLB__Type.html#a2f38f8719f25fec2aded949490118061',1,'QSPI_CTRLB_Type']]],
['csr_2327',['CSR',['../unionCAN__CCCR__Type.html#a68f3ec50188b39a67c397830fc57312e',1,'CAN_CCCR_Type::CSR()'],['../unionGMAC__CSE__Type.html#a9c3ac4dfe4304d5e2d0590f416c862b9',1,'GMAC_CSE_Type::CSR()']]],
['csrise_2328',['CSRISE',['../unionQSPI__INTENCLR__Type.html#af7fd69f6df15870be2385284008aea70',1,'QSPI_INTENCLR_Type::CSRISE()'],['../unionQSPI__INTENSET__Type.html#a54e6cfe0fded456c1f115964c22ee5a4',1,'QSPI_INTENSET_Type::CSRISE()'],['../unionQSPI__INTFLAG__Type.html#ae6c22aa80c54b555f339b289aa701ac4',1,'QSPI_INTFLAG_Type::CSRISE()']]],
['csstatus_2329',['CSSTATUS',['../unionQSPI__STATUS__Type.html#a8118ba918187482b90661ade08ff8cd3',1,'QSPI_STATUS_Type']]],
['cstep_2330',['CSTEP',['../unionOSCCTRL__DFLLMUL__Type.html#a2b0e3b0c09f38fe671c7083fc558cfc4',1,'OSCCTRL_DFLLMUL_Type']]],
['csts_2331',['CSTS',['../unionCMCC__SR__Type.html#ae17c73e40d8c38ca6482e25f6bea9055',1,'CMCC_SR_Type']]],
['ctrl_2332',['CTRL',['../structCcl.html#ae587eae18d53d49737c997b0ad5b1d79',1,'Ccl::CTRL()'],['../structCmcc.html#a539558149b21db7c991f0742d30e0481',1,'Cmcc::CTRL()'],['../structDmac.html#a30a5958da3426b2fa78c55aca13d1cc4',1,'Dmac::CTRL()'],['../structDsu.html#a37a45b5734e68c25515884abbc7354bc',1,'Dsu::CTRL()'],['../structIcm.html#a40d3beb0754307ba1eded5f30893cee8',1,'Icm::CTRL()'],['../unionPICOP__ICACHE__Type.html#ab17b3296e12ab2eb818fe77e2f19e6b4',1,'PICOP_ICACHE_Type::CTRL()'],['../structPicop.html#a1f9f49b07e95fb567650d7ccbbab6725',1,'Picop::CTRL()'],['../structPortGroup.html#a1a3e80749252d99d74d682c1df280d2c',1,'PortGroup::CTRL()']]],
['ctrl_5fpipe_2333',['CTRL_PIPE',['../structUsbHostDescBank.html#a06d1373e3a08b7b47910cfbc948e5841',1,'UsbHostDescBank']]],
['ctrla_2334',['CTRLA',['../structAc.html#a630e7dd91672e1c2b74ee673f39de93b',1,'Ac::CTRLA()'],['../structAdc.html#ab3df0d5e21bc31d52cd54efb82840a84',1,'Adc::CTRLA()'],['../structAes.html#acd4df706ec28523d4886564e202a9711',1,'Aes::CTRLA()'],['../structDac.html#ae64d3013c578889b3bc5bc9f0cfda66e',1,'Dac::CTRLA()'],['../structEic.html#a3d945ae1fb5d005dae206bdcec01db95',1,'Eic::CTRLA()'],['../structEvsys.html#a4a33bf48d2dc3b91ae2200208f02e0fb',1,'Evsys::CTRLA()'],['../structFreqm.html#aa4f58c1c25812f29b58cf44f427ccafe',1,'Freqm::CTRLA()'],['../structGclk.html#a7ff698dc8e032c78b1715da0e70770f9',1,'Gclk::CTRLA()'],['../structI2s.html#a789ed154702ba87dba0ff007ea7180e6',1,'I2s::CTRLA()'],['../structNvmctrl.html#a7e8613746f6e54c152a3eb2405ab30ef',1,'Nvmctrl::CTRLA()'],['../structPdec.html#abbd37a7f83fe231552ea40b7a98b695e',1,'Pdec::CTRLA()'],['../structPm.html#a5e802c548acab3cc3c21b1836d2a1a65',1,'Pm::CTRLA()'],['../structQspi.html#a036135899d8b56cb0da657f92fd7f33b',1,'Qspi::CTRLA()'],['../structRtcMode0.html#a2abe04f0a86b444e7fe75626da34656c',1,'RtcMode0::CTRLA()'],['../structRtcMode1.html#aff55b240f55cb5c0a961908289badf2e',1,'RtcMode1::CTRLA()'],['../structRtcMode2.html#a203d6680db5f26de7625e5111efa2d7c',1,'RtcMode2::CTRLA()'],['../structSercomI2cm.html#ada5a8e80a8a309062779659a8520a301',1,'SercomI2cm::CTRLA()'],['../structSercomI2cs.html#aae5bca0754fea380f560a66564f03434',1,'SercomI2cs::CTRLA()'],['../structSercomSpi.html#a6b5ceac8734777daa2c939a528bd8d7a',1,'SercomSpi::CTRLA()'],['../structSercomUsart.html#a0d6e3df70af3c2599e2227162d7e481d',1,'SercomUsart::CTRLA()'],['../structTcCount8.html#a21e470a693ab9a740db77ae81a32a204',1,'TcCount8::CTRLA()'],['../structTcCount16.html#a95d341d2612817272305505427408ed0',1,'TcCount16::CTRLA()'],['../structTcCount32.html#a61a55f6a4d2840eca59afee25ca7b410',1,'TcCount32::CTRLA()'],['../structTcc.html#a32d3c991c1c006f20a6b193618729798',1,'Tcc::CTRLA()'],['../structTrng.html#a74235f1e8bd44a01dd6a567ec9df56b9',1,'Trng::CTRLA()'],['../structUsbDevice.html#a977e777ffb0290c63814f9a91159cf97',1,'UsbDevice::CTRLA()'],['../structUsbHost.html#a6b6377f072f616ce614747aeafe2f6c9',1,'UsbHost::CTRLA()'],['../structWdt.html#a82aa228f50e070d4fbe05dd359823ef2',1,'Wdt::CTRLA()']]],
['ctrlb_2335',['CTRLB',['../structAc.html#a640781efaa613b49c38ed2480eaae796',1,'Ac::CTRLB()'],['../unionADC__SYNCBUSY__Type.html#a085516b5925d198fb91fe6e77015caa4',1,'ADC_SYNCBUSY_Type::CTRLB()'],['../unionADC__DSEQCTRL__Type.html#a83e7c5adbce10a335aab58ae62cb27fa',1,'ADC_DSEQCTRL_Type::CTRLB()'],['../unionADC__DSEQSTAT__Type.html#abaf82fbb2e33d3d19cb62d8d498aab28',1,'ADC_DSEQSTAT_Type::CTRLB()'],['../structAdc.html#a8c7b4543b3b320cbe078bd58fb7df93c',1,'Adc::CTRLB()'],['../structAes.html#ac1d68d335259d824880676a8d278b0c6',1,'Aes::CTRLB()'],['../structDac.html#a36fa8a7f785454c1af6d1bd41caa8e7a',1,'Dac::CTRLB()'],['../structFreqm.html#a792aea49e629c0ed49ffc0a450e7276e',1,'Freqm::CTRLB()'],['../structNvmctrl.html#aa229892d3c632b4304cbd8050d1cc439',1,'Nvmctrl::CTRLB()'],['../unionPDEC__SYNCBUSY__Type.html#a37ddad64abd51ef20b689007087a5094',1,'PDEC_SYNCBUSY_Type::CTRLB()'],['../structQspi.html#ad82456fa96981795d4d4dc4c737fe477',1,'Qspi::CTRLB()'],['../structRtcMode0.html#a2d76ecd6200517c846035d0bb2e71f7a',1,'RtcMode0::CTRLB()'],['../structRtcMode1.html#aecb38a11e4892168594d12cd7a688419',1,'RtcMode1::CTRLB()'],['../structRtcMode2.html#ac3cd3001373d1e27a11e6caeeb86f118',1,'RtcMode2::CTRLB()'],['../unionSERCOM__SPI__SYNCBUSY__Type.html#a37a51d0621dc3bd5e6b699849ee79ffd',1,'SERCOM_SPI_SYNCBUSY_Type::CTRLB()'],['../unionSERCOM__USART__SYNCBUSY__Type.html#a55f398ed8acd97a4b17c6d9746e962ca',1,'SERCOM_USART_SYNCBUSY_Type::CTRLB()'],['../structSercomI2cm.html#a16405c9197468e6eefef0672b99e7ef9',1,'SercomI2cm::CTRLB()'],['../structSercomI2cs.html#a156682f8e20732eb5357cb5950f40665',1,'SercomI2cs::CTRLB()'],['../structSercomSpi.html#a82682944a4341eac7e7cead927114f27',1,'SercomSpi::CTRLB()'],['../structSercomUsart.html#a13f48d6962c20b0fbbc697b339b24637',1,'SercomUsart::CTRLB()'],['../unionTC__SYNCBUSY__Type.html#a8b4271ce560f606129196396a23d1b90',1,'TC_SYNCBUSY_Type::CTRLB()'],['../unionTCC__SYNCBUSY__Type.html#a46320a82df89b0d6f7b47f3cae4ff987',1,'TCC_SYNCBUSY_Type::CTRLB()'],['../structUsbDevice.html#ad358347a8428b312e6068519448c1db1',1,'UsbDevice::CTRLB()'],['../structUsbHost.html#a11983b8aae9feb249c28b26da520cd24',1,'UsbHost::CTRLB()']]],
['ctrlbclr_2336',['CTRLBCLR',['../structPdec.html#af7558c80275bb620e95eedc468e2937a',1,'Pdec::CTRLBCLR()'],['../structTcCount8.html#abaaa0d5d4c7229363bb86f848c833d44',1,'TcCount8::CTRLBCLR()'],['../structTcCount16.html#a9b86c67d0b2da1e57b3b79ef728cd8fb',1,'TcCount16::CTRLBCLR()'],['../structTcCount32.html#a757bc243ef27207e5a2f2a6ba46829ff',1,'TcCount32::CTRLBCLR()'],['../structTcc.html#a2293428bb668bc0367cbe834987eca3e',1,'Tcc::CTRLBCLR()']]],
['ctrlbset_2337',['CTRLBSET',['../structPdec.html#a79e2931566772274ab603cbfbe194a64',1,'Pdec::CTRLBSET()'],['../structTcCount8.html#a73eb558d0c3044cda0b077a127ec310e',1,'TcCount8::CTRLBSET()'],['../structTcCount16.html#a88bbb63998950bc7726d01c9ea1a42c6',1,'TcCount16::CTRLBSET()'],['../structTcCount32.html#af95274ac7cdedd0ba52b925fbf8c0a89',1,'TcCount32::CTRLBSET()'],['../structTcc.html#abfdedd396ae9f95b86ed4b4333b08464',1,'Tcc::CTRLBSET()']]],
['ctrlc_2338',['CTRLC',['../structSercomI2cm.html#a90d7e46bb201992eaad6c632325d088b',1,'SercomI2cm::CTRLC()'],['../structSercomI2cs.html#a53630c729918ba7799ba9df739ff0f3f',1,'SercomI2cs::CTRLC()'],['../structSercomSpi.html#aee02e14b6e6984e182a88a0bbab2ab9b',1,'SercomSpi::CTRLC()'],['../structSercomUsart.html#a842428ce820db74cf8d48171b49299e5',1,'SercomUsart::CTRLC()']]],
['cts_2339',['CTS',['../unionSERCOM__USART__STATUS__Type.html#a5ec6f7015de65b66bf9c55354bb15645',1,'SERCOM_USART_STATUS_Type']]],
['ctsic_2340',['CTSIC',['../unionSERCOM__USART__INTENCLR__Type.html#a399d2efb71ffa2c46a3ac7e521c1b926',1,'SERCOM_USART_INTENCLR_Type::CTSIC()'],['../unionSERCOM__USART__INTENSET__Type.html#a3435a35f0b4812bbba2e443338728c51',1,'SERCOM_USART_INTENSET_Type::CTSIC()'],['../unionSERCOM__USART__INTFLAG__Type.html#a15b3e81d656de623a22f4b3efdf953ca',1,'SERCOM_USART_INTFLAG_Type::CTSIC()']]],
['cttsex_2341',['CTTSEX',['../unionPICOP__CMD__Type.html#abfed0c8c676cafa7c05f071b4090b9ce',1,'PICOP_CMD_Type']]],
['ctype_2342',['CTYPE',['../unionAES__CTRLA__Type.html#a7f40eaa9542a949ff7a7e9c6b8158706',1,'AES_CTRLA_Type']]],
['curbk_2343',['CURBK',['../unionUSB__DEVICE__EPSTATUSCLR__Type.html#af1c27e8499e0f79ce4664bab4c3e7d13',1,'USB_DEVICE_EPSTATUSCLR_Type::CURBK()'],['../unionUSB__HOST__PSTATUSCLR__Type.html#a1874b14a8b56e460097105ac50a734fd',1,'USB_HOST_PSTATUSCLR_Type::CURBK()'],['../unionUSB__DEVICE__EPSTATUSSET__Type.html#a18339b040824977b3649444ecdf774b3',1,'USB_DEVICE_EPSTATUSSET_Type::CURBK()'],['../unionUSB__HOST__PSTATUSSET__Type.html#a675a9fcc09a0f98c17e52ae5ac53193c',1,'USB_HOST_PSTATUSSET_Type::CURBK()'],['../unionUSB__DEVICE__EPSTATUS__Type.html#aa0d37b44030e031784b4f9196818d49a',1,'USB_DEVICE_EPSTATUS_Type::CURBK()'],['../unionUSB__HOST__PSTATUS__Type.html#a912bf04e1c0ee1aeeea9a6b3bd0997f3',1,'USB_HOST_PSTATUS_Type::CURBK()']]],
['curlim_2344',['CURLIM',['../unionSDHC__EISTR__Type.html#abac94140d0b014e54e55d510667876eb',1,'SDHC_EISTR_Type::CURLIM()'],['../unionSDHC__EISTER__Type.html#adfa8d7ea9774f320653b906706b021ad',1,'SDHC_EISTER_Type::CURLIM()'],['../unionSDHC__EISIER__Type.html#aacce3297ec67c0d2dc46a055435518f5',1,'SDHC_EISIER_Type::CURLIM()'],['../unionSDHC__FEREIS__Type.html#af1c0cb76bcb60692340575ec49e4b44c',1,'SDHC_FEREIS_Type::CURLIM()']]],
['cusmod_2345',['CUSMOD',['../unionDSU__PID3__Type.html#a04d461de3bfc43fdf0d13ce6bd6568fb',1,'DSU_PID3_Type']]],
['control_20area_20network_2346',['Control Area Network',['../group__SAME54__CAN.html',1,'']]],
['configurable_20custom_20logic_2347',['Configurable Custom Logic',['../group__SAME54__CCL.html',1,'']]],
['cortex_20m_20cache_20controller_2348',['Cortex M Cache Controller',['../group__SAME54__CMCC.html',1,'']]],
['cmsis_20definitions_2349',['CMSIS Definitions',['../group__SAME54N19A__cmsis.html',1,'']]],
['cmsis_20definitions_2350',['CMSIS Definitions',['../group__SAME54N20A__cmsis.html',1,'']]],
['cmsis_20definitions_2351',['CMSIS Definitions',['../group__SAME54P19A__cmsis.html',1,'']]],
['cmsis_20definitions_2352',['CMSIS Definitions',['../group__SAME54P20A__cmsis.html',1,'']]]
['conf_5fcore_5fclk_5fxosc0_5fcfden_2246',['CONF_CORE_CLK_XOSC0_CFDEN',['../conf__core_8h.html#a17cd20bb954bc137251cf7fb63889151',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fenable_2247',['CONF_CORE_CLK_XOSC0_ENABLE',['../conf__core_8h.html#a61559adc856ce1dcfa046e749af63bc3',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fenalc_2248',['CONF_CORE_CLK_XOSC0_ENALC',['../conf__core_8h.html#a2683d3721ab44a85e60cfff0d0cbf96e',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5ffrequency_2249',['CONF_CORE_CLK_XOSC0_FREQUENCY',['../conf__core_8h.html#af6ec0afa1da472db5f1def1d1923faad',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5flowbufgain_2250',['CONF_CORE_CLK_XOSC0_LOWBUFGAIN',['../conf__core_8h.html#aeea7b0d3663bb6d5ccc3218017f9a05b',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fondemand_2251',['CONF_CORE_CLK_XOSC0_ONDEMAND',['../conf__core_8h.html#a5ffaa1551b7ffb8a342b2cc5fbc5950c',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5frunstdby_2252',['CONF_CORE_CLK_XOSC0_RUNSTDBY',['../conf__core_8h.html#afac0686157854cc021424410ded071f1',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fstartup_5ftime_2253',['CONF_CORE_CLK_XOSC0_STARTUP_TIME',['../conf__core_8h.html#affc8201cf2340d2236ba9ca44a1e657c',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fswben_2254',['CONF_CORE_CLK_XOSC0_SWBEN',['../conf__core_8h.html#abe235b3b13f253acb855d15c8f33c95a',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc0_5fxtalen_2255',['CONF_CORE_CLK_XOSC0_XTALEN',['../conf__core_8h.html#ac2ca0e9037347eb69d53a0011f989910',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fcfden_2256',['CONF_CORE_CLK_XOSC1_CFDEN',['../conf__core_8h.html#a924214b0f469bde71ff28fe5544466db',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fenable_2257',['CONF_CORE_CLK_XOSC1_ENABLE',['../conf__core_8h.html#aa54465cc56631333a22ae84ab66d5f3a',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fenalc_2258',['CONF_CORE_CLK_XOSC1_ENALC',['../conf__core_8h.html#a3a42de5c6251540e7b8c000974acfc62',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5ffrequency_2259',['CONF_CORE_CLK_XOSC1_FREQUENCY',['../conf__core_8h.html#a3fc3ea49b9d78438e5a8c19a22849469',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5flowbufgain_2260',['CONF_CORE_CLK_XOSC1_LOWBUFGAIN',['../conf__core_8h.html#a985ebd23986d9411d3602040e33bb405',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fondemand_2261',['CONF_CORE_CLK_XOSC1_ONDEMAND',['../conf__core_8h.html#aaf561ace0fee1e373536a251ce8a9726',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5frunstdby_2262',['CONF_CORE_CLK_XOSC1_RUNSTDBY',['../conf__core_8h.html#a8bec34fdc01ac38ec0c2d13112f28aa0',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fstartup_5ftime_2263',['CONF_CORE_CLK_XOSC1_STARTUP_TIME',['../conf__core_8h.html#ae8d2fdac3b52964174863149986db625',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fswben_2264',['CONF_CORE_CLK_XOSC1_SWBEN',['../conf__core_8h.html#a590b1e68a3f666bdea576a32f4e74ba0',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc1_5fxtalen_2265',['CONF_CORE_CLK_XOSC1_XTALEN',['../conf__core_8h.html#ae3f8741e2be4b37a46dfb49af2c2a09d',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fcfden_2266',['CONF_CORE_CLK_XOSC32K_CFDEN',['../conf__core_8h.html#a668d68edf9a7ac05be5b9cda247225ad',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fcfdpresc_2267',['CONF_CORE_CLK_XOSC32K_CFDPRESC',['../conf__core_8h.html#a79567f94a0f3ad2d628bcf5e1cff62d1',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fcgm_2268',['CONF_CORE_CLK_XOSC32K_CGM',['../conf__core_8h.html#a031a77340c697036538d218724837de1',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fen1k_2269',['CONF_CORE_CLK_XOSC32K_EN1K',['../conf__core_8h.html#a033f3571fb50a6ed02278d65ea84b45e',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fen32k_2270',['CONF_CORE_CLK_XOSC32K_EN32K',['../conf__core_8h.html#ae9fbb8d05dc5808f510eae4e8a629826',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fenable_2271',['CONF_CORE_CLK_XOSC32K_ENABLE',['../conf__core_8h.html#accf341cf268c0883a3d862b98667bc9c',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fondemand_2272',['CONF_CORE_CLK_XOSC32K_ONDEMAND',['../conf__core_8h.html#a8d70a30b50febec035af6b982daac395',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5frunstdby_2273',['CONF_CORE_CLK_XOSC32K_RUNSTDBY',['../conf__core_8h.html#adc7a2f161e9e8e54388b1f290066247e',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fstartup_5ftime_2274',['CONF_CORE_CLK_XOSC32K_STARTUP_TIME',['../conf__core_8h.html#a314b78ee48f1ecf6c40f4bad7ef63d9d',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fswback_2275',['CONF_CORE_CLK_XOSC32K_SWBACK',['../conf__core_8h.html#ac6e671eee4ca4aeb9fcbb87d52b457b5',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fwrtlock_2276',['CONF_CORE_CLK_XOSC32K_WRTLOCK',['../conf__core_8h.html#a42b0270d2f3c0f51c4b3d2b8ac397fda',1,'conf_core.h']]],
['conf_5fcore_5fclk_5fxosc32k_5fxtalen_2277',['CONF_CORE_CLK_XOSC32K_XTALEN',['../conf__core_8h.html#a6a65a6f4bf7e21dc2003b61a7045e24a',1,'conf_core.h']]],
['conf_5fcore_5fcmcc_5fenable_2278',['CONF_CORE_CMCC_ENABLE',['../conf__core_8h.html#a81edcdaa3908cc3d99a95047e4431405',1,'conf_core.h']]],
['conf_5fcore_5fdma_5fenable_2279',['CONF_CORE_DMA_ENABLE',['../conf__core_8h.html#a16a34b830edda5b571c41a0f865f7051',1,'conf_core.h']]],
['conf_5fcore_5fmclk_5fcpudiv_2280',['CONF_CORE_MCLK_CPUDIV',['../conf__core_8h.html#aa9643e96439d0d47e0684a4b11c1f529',1,'conf_core.h']]],
['conf_5fcore_5fmclk_5fnvm_5fwait_5fstate_2281',['CONF_CORE_MCLK_NVM_WAIT_STATE',['../conf__core_8h.html#ab46aa120e454ecf957efa4bd97be5bdf',1,'conf_core.h']]],
['conf_5fcore_5fport_5fevctrl_5f0_5fenable_2282',['CONF_CORE_PORT_EVCTRL_0_ENABLE',['../conf__core_8h.html#a4e846249cdbec567989afa93b6653671',1,'conf_core.h']]],
['conf_5fcore_5fport_5fevctrl_5f1_5fenable_2283',['CONF_CORE_PORT_EVCTRL_1_ENABLE',['../conf__core_8h.html#ab1c71bf1f1249ce9046aca27329ae588',1,'conf_core.h']]],
['conf_5fcore_5fport_5fevctrl_5f2_5fenable_2284',['CONF_CORE_PORT_EVCTRL_2_ENABLE',['../conf__core_8h.html#a7971c1d952e35ecc50ae18de2b2c48ed',1,'conf_core.h']]],
['config_2285',['CONFIG',['../structEic.html#a95e5655d7106c582cb9e93e65825309b',1,'Eic::CONFIG()'],['../structPicop.html#ab99dc22d2d64a4866480beb8b9cbe452',1,'Picop::CONFIG()'],['../structWdt.html#afa500bbe59058bbea80215803b435516',1,'Wdt::CONFIG()']]],
['contr_2286',['CONTR',['../unionSDHC__BGCR__Type.html#ac966baac60ff09d3db645c3548403da7',1,'SDHC_BGCR_Type']]],
['copen_2287',['COPEN',['../unionTC__CTRLA__Type.html#a96929bb3941ce4304ff533d980eb5bd6',1,'TC_CTRLA_Type']]],
['copen0_2288',['COPEN0',['../unionTC__CTRLA__Type.html#a861361206908b98aa37fa73597540b10',1,'TC_CTRLA_Type']]],
['copen1_2289',['COPEN1',['../unionTC__CTRLA__Type.html#acc3ece336c0f78100cce3fa5747adce0',1,'TC_CTRLA_Type']]],
['corren_2290',['CORREN',['../unionADC__CTRLB__Type.html#a74e341c6437af3f7322190a824f6d405',1,'ADC_CTRLB_Type']]],
['count_2291',['COUNT',['../unionPDEC__SYNCBUSY__Type.html#a634ac9c34ecd71b7029866f018f68399',1,'PDEC_SYNCBUSY_Type::COUNT()'],['../unionPDEC__COUNT__Type.html#ae4192cbeb6a700b067b5ce016fb2a509',1,'PDEC_COUNT_Type::COUNT()'],['../structPdec.html#a7883ce5294d10e0fa8c77b4a86421862',1,'Pdec::COUNT()'],['../unionRTC__MODE0__SYNCBUSY__Type.html#a6f255530fe0a8455abd488d8631b2fe9',1,'RTC_MODE0_SYNCBUSY_Type::COUNT()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#aa496d07ac27a207113bd77123d141da0',1,'RTC_MODE1_SYNCBUSY_Type::COUNT()'],['../unionRTC__MODE0__COUNT__Type.html#a6e864c384400f6ef6bf0ba47dd50f496',1,'RTC_MODE0_COUNT_Type::COUNT()'],['../unionRTC__MODE1__COUNT__Type.html#a7d721f68501a6c0226a8ca2051dd79ca',1,'RTC_MODE1_COUNT_Type::COUNT()'],['../unionRTC__MODE0__TIMESTAMP__Type.html#a426cec05da891bb13aae709fa87d32f7',1,'RTC_MODE0_TIMESTAMP_Type::COUNT()'],['../unionRTC__MODE1__TIMESTAMP__Type.html#aa0f6ef0db0f2dc12db613b7c9f5ea710',1,'RTC_MODE1_TIMESTAMP_Type::COUNT()'],['../structRtcMode0.html#a1925fbea34cbf2e2c580607b15cb88c0',1,'RtcMode0::COUNT()'],['../structRtcMode1.html#a53d7f6e32fe5ec2a00d23a27d553d8c4',1,'RtcMode1::COUNT()'],['../unionTC__SYNCBUSY__Type.html#aceba06dc7bc4721c03d2202bcbde4f8f',1,'TC_SYNCBUSY_Type::COUNT()'],['../unionTC__COUNT16__COUNT__Type.html#aaf8a9c8c8b3f8dbc70cdca21667ededc',1,'TC_COUNT16_COUNT_Type::COUNT()'],['../unionTC__COUNT32__COUNT__Type.html#a5ad01aaa47460a80d2a8c8f1fff87537',1,'TC_COUNT32_COUNT_Type::COUNT()'],['../unionTC__COUNT8__COUNT__Type.html#a9fea17761d4bb72c7282cb1eab77bfe7',1,'TC_COUNT8_COUNT_Type::COUNT()'],['../structTcCount8.html#a8eb17b36ee1d22d0fcc456fe1e997c27',1,'TcCount8::COUNT()'],['../structTcCount16.html#acb9a47b2d1577305b71748c3e6a0d418',1,'TcCount16::COUNT()'],['../structTcCount32.html#a03065901d7c4f3effcf6b4d3e24bb1ea',1,'TcCount32::COUNT()'],['../unionTCC__SYNCBUSY__Type.html#af8b89dbed318a0658fe4e64df7a8facd',1,'TCC_SYNCBUSY_Type::COUNT()'],['../unionTCC__COUNT__Type.html#a4c85cbbfca12793f2f149f67fa6c627f',1,'TCC_COUNT_Type::COUNT()'],['../structTcc.html#a8ee2b473a9570d65643b9b3251cb31ce',1,'Tcc::COUNT()']]],
['count16_2292',['COUNT16',['../unionTc.html#a412733fd8d10b718fdad06d012b2e083',1,'Tc']]],
['count32_2293',['COUNT32',['../unionTc.html#a9d8e26c702141ac10b008be47152ac2c',1,'Tc']]],
['count8_2294',['COUNT8',['../unionTc.html#ab10f40f06530569d88a9db8904ef5232',1,'Tc']]],
['countsync_2295',['COUNTSYNC',['../unionRTC__MODE0__CTRLA__Type.html#a76e4065ae43bab32a49713f86d2f67ab',1,'RTC_MODE0_CTRLA_Type::COUNTSYNC()'],['../unionRTC__MODE1__CTRLA__Type.html#a4d187bd4471d594a997f6d12b3335202',1,'RTC_MODE1_CTRLA_Type::COUNTSYNC()'],['../unionRTC__MODE0__SYNCBUSY__Type.html#ac440da829bf9b18a077eeadcdd5882f9',1,'RTC_MODE0_SYNCBUSY_Type::COUNTSYNC()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#a427232b0cb99d7df1295c7e13af5256a',1,'RTC_MODE1_SYNCBUSY_Type::COUNTSYNC()']]],
['cpha_2296',['CPHA',['../unionQSPI__BAUD__Type.html#a9ce4956564c40a25a9df46c5954e4f6f',1,'QSPI_BAUD_Type::CPHA()'],['../unionSERCOM__SPI__CTRLA__Type.html#ab5e07b366fc06c5ea67a0e7756371217',1,'SERCOM_SPI_CTRLA_Type::CPHA()']]],
['cpol_2297',['CPOL',['../unionQSPI__BAUD__Type.html#a1fff893bd4e78a90f2ed61a48132173f',1,'QSPI_BAUD_Type::CPOL()'],['../unionSERCOM__SPI__CTRLA__Type.html#ae2dd1f145073fa400dc2346b1b2ae370',1,'SERCOM_SPI_CTRLA_Type::CPOL()'],['../unionSERCOM__USART__CTRLA__Type.html#a2944e6fc9ccf24a94275c51776ff386c',1,'SERCOM_USART_CTRLA_Type::CPOL()']]],
['cpten_2298',['CPTEN',['../unionTCC__CTRLA__Type.html#a427f95afaa9b890df9c1ac8b141b9f6a',1,'TCC_CTRLA_Type']]],
['cpten0_2299',['CPTEN0',['../unionTCC__CTRLA__Type.html#a49d26f9399d1b8704a9284b51a206b7e',1,'TCC_CTRLA_Type']]],
['cpten1_2300',['CPTEN1',['../unionTCC__CTRLA__Type.html#a0716f136bfbab2eea372cc7f3ba8e5c0',1,'TCC_CTRLA_Type']]],
['cpten2_2301',['CPTEN2',['../unionTCC__CTRLA__Type.html#aedb3ef6c6c600356568e385727ab6ba4',1,'TCC_CTRLA_Type']]],
['cpten3_2302',['CPTEN3',['../unionTCC__CTRLA__Type.html#a4dd7e062b3ab1a43b4627c2ade1e7b68',1,'TCC_CTRLA_Type']]],
['cpten4_2303',['CPTEN4',['../unionTCC__CTRLA__Type.html#a832d2cc6a43c360f9f17cf8803fbe6e0',1,'TCC_CTRLA_Type']]],
['cpten5_2304',['CPTEN5',['../unionTCC__CTRLA__Type.html#a2a2e63161f23bb8cdc8745d5e9cfed9a',1,'TCC_CTRLA_Type']]],
['cpudiv_2305',['CPUDIV',['../structMclk.html#a4a4de422fd7e853df9d7b167f0b1779e',1,'Mclk']]],
['cqos_2306',['CQOS',['../unionUSB__QOSCTRL__Type.html#a65312b6440dfac5e46e2781c55b70d3f',1,'USB_QOSCTRL_Type']]],
['cr_2307',['CR',['../structSdhc.html#acd65c4184a194d80c1dfb1a2a9feb547',1,'Sdhc']]],
['cr0_2308',['CR0',['../unionCAN__TXBCR__Type.html#a7487911ce08f3e0795648c812cca1a5e',1,'CAN_TXBCR_Type']]],
['cr1_2309',['CR1',['../unionCAN__TXBCR__Type.html#a607ce9305284c2dc1d55badba524c169',1,'CAN_TXBCR_Type']]],
['cr10_2310',['CR10',['../unionCAN__TXBCR__Type.html#a2769edb15328f5045b590596ed575202',1,'CAN_TXBCR_Type']]],
['cr11_2311',['CR11',['../unionCAN__TXBCR__Type.html#ae27582deb3c6dc300f6e5ca75a8cc40d',1,'CAN_TXBCR_Type']]],
['cr12_2312',['CR12',['../unionCAN__TXBCR__Type.html#ad11406b5d80fe87704355a4b31e11f80',1,'CAN_TXBCR_Type']]],
['cr13_2313',['CR13',['../unionCAN__TXBCR__Type.html#a358135e6dce23c976abc1ab5c28408f7',1,'CAN_TXBCR_Type']]],
['cr14_2314',['CR14',['../unionCAN__TXBCR__Type.html#acd65dd2edaf2bd523181985c71332a40',1,'CAN_TXBCR_Type']]],
['cr15_2315',['CR15',['../unionCAN__TXBCR__Type.html#a64079942ee626260f958fee0a51eff7f',1,'CAN_TXBCR_Type']]],
['cr16_2316',['CR16',['../unionCAN__TXBCR__Type.html#a8a126e6e3372842169f514658eb389eb',1,'CAN_TXBCR_Type']]],
['cr17_2317',['CR17',['../unionCAN__TXBCR__Type.html#a156ee9042bdd6f05e0aec30edfc77771',1,'CAN_TXBCR_Type']]],
['cr18_2318',['CR18',['../unionCAN__TXBCR__Type.html#ad01041062c695138fd02aadfc77422da',1,'CAN_TXBCR_Type']]],
['cr19_2319',['CR19',['../unionCAN__TXBCR__Type.html#ac5963bd1bfdfcd7984c83a9591cbf896',1,'CAN_TXBCR_Type']]],
['cr2_2320',['CR2',['../unionCAN__TXBCR__Type.html#a24c0b165d0e2483d880fbd572549d9a4',1,'CAN_TXBCR_Type']]],
['cr20_2321',['CR20',['../unionCAN__TXBCR__Type.html#ab0c7e996a5ff40322124bfc6e9803a49',1,'CAN_TXBCR_Type']]],
['cr21_2322',['CR21',['../unionCAN__TXBCR__Type.html#a431b2482473b8b2a664d1f5ed716a94f',1,'CAN_TXBCR_Type']]],
['cr22_2323',['CR22',['../unionCAN__TXBCR__Type.html#a50b881dd5a7354ba6bfe118d4a0f4ae7',1,'CAN_TXBCR_Type']]],
['cr23_2324',['CR23',['../unionCAN__TXBCR__Type.html#a51d3109c0e50284b33cb0588157fc199',1,'CAN_TXBCR_Type']]],
['cr24_2325',['CR24',['../unionCAN__TXBCR__Type.html#a22b7e189003196da4ff27c3329dd74e3',1,'CAN_TXBCR_Type']]],
['cr25_2326',['CR25',['../unionCAN__TXBCR__Type.html#ae296a8cbd3e8cdeee91d91da406a8c9e',1,'CAN_TXBCR_Type']]],
['cr26_2327',['CR26',['../unionCAN__TXBCR__Type.html#a7755e9a6406333f668c14ccfdcc679ef',1,'CAN_TXBCR_Type']]],
['cr27_2328',['CR27',['../unionCAN__TXBCR__Type.html#ab24ec7bb1da15d2d7c0c7f4fe0387d25',1,'CAN_TXBCR_Type']]],
['cr28_2329',['CR28',['../unionCAN__TXBCR__Type.html#ae9514532eb19c90b0c2af46e25cddf83',1,'CAN_TXBCR_Type']]],
['cr29_2330',['CR29',['../unionCAN__TXBCR__Type.html#a58ca0b2818bed662cb698621cc6c3485',1,'CAN_TXBCR_Type']]],
['cr3_2331',['CR3',['../unionCAN__TXBCR__Type.html#a4d0fa19abdaef912f2ba93abccd71cce',1,'CAN_TXBCR_Type']]],
['cr30_2332',['CR30',['../unionCAN__TXBCR__Type.html#a3a3ae6aed0b08aa65c4fc896aecd98a4',1,'CAN_TXBCR_Type']]],
['cr31_2333',['CR31',['../unionCAN__TXBCR__Type.html#a640cd244e9a5070dedebba6f294e0f2c',1,'CAN_TXBCR_Type']]],
['cr4_2334',['CR4',['../unionCAN__TXBCR__Type.html#a6b9743ae67c0eecfcc89bda1ab328b94',1,'CAN_TXBCR_Type']]],
['cr5_2335',['CR5',['../unionCAN__TXBCR__Type.html#a08b592ebc2f1414fe3c5e7bf4d6743fd',1,'CAN_TXBCR_Type']]],
['cr6_2336',['CR6',['../unionCAN__TXBCR__Type.html#a7fceb0f0590ba92225ef2504a9cf32f7',1,'CAN_TXBCR_Type']]],
['cr7_2337',['CR7',['../unionCAN__TXBCR__Type.html#a06d87af75e428de9cfd952a680355cd5',1,'CAN_TXBCR_Type']]],
['cr8_2338',['CR8',['../unionCAN__TXBCR__Type.html#ab552d6a97f58004965bd6ada1e706a44',1,'CAN_TXBCR_Type']]],
['cr9_2339',['CR9',['../unionCAN__TXBCR__Type.html#a44f591cce99d83feb4b6ababd3a8ecc7',1,'CAN_TXBCR_Type']]],
['crc_2340',['CRC',['../unionDMAC__DSTADDR__Type.html#a66be7e4a69b0412bdad1202e244ffcba',1,'DMAC_DSTADDR_Type::CRC()'],['../unionDSU__CTRL__Type.html#a9a351c7d3ed4db72fe199f29441b24e4',1,'DSU_CTRL_Type::CRC()']]],
['crc16er_2341',['CRC16ER',['../unionUSB__HOST__STATUS__PIPE__Type.html#ae7b5e9d645d30c4430d41a71d02aa6b1',1,'USB_HOST_STATUS_PIPE_Type']]],
['crcbeatsize_2342',['CRCBEATSIZE',['../unionDMAC__CRCCTRL__Type.html#a2fb9eb8e861d355adc9bddceb9961f7c',1,'DMAC_CRCCTRL_Type']]],
['crcbusy_2343',['CRCBUSY',['../unionDMAC__CRCSTATUS__Type.html#a4a5216e50f70acc3797e66cb86ab50fb',1,'DMAC_CRCSTATUS_Type']]],
['crcchksum_2344',['CRCCHKSUM',['../unionDMAC__CRCCHKSUM__Type.html#a3f1943308686b5ead58a7dab389cc1c2',1,'DMAC_CRCCHKSUM_Type::CRCCHKSUM()'],['../structDmac.html#a707e8e96b25fa7bb7b1495253e727e79',1,'Dmac::CRCCHKSUM()']]],
['crcctrl_2345',['CRCCTRL',['../structDmac.html#a12414ace3ca825d274fa41bc8453578b',1,'Dmac']]],
['crcdatain_2346',['CRCDATAIN',['../unionDMAC__CRCDATAIN__Type.html#a8afe23c7ebbbf2da812813e84894f753',1,'DMAC_CRCDATAIN_Type::CRCDATAIN()'],['../structDmac.html#ad3a090166a092799acfbc1ee5d4e2754',1,'Dmac::CRCDATAIN()']]],
['crcerr_2347',['CRCERR',['../unionDMAC__CRCSTATUS__Type.html#a6d763e46cdeb347329cc3d412c8e84c8',1,'DMAC_CRCSTATUS_Type::CRCERR()'],['../unionDMAC__INTPEND__Type.html#aa76815df5a712a2ebc485e7dbc7fa8e7',1,'DMAC_INTPEND_Type::CRCERR()'],['../unionDMAC__CHSTATUS__Type.html#a3d7ebbbaf1429065a327a37c8b9292e5',1,'DMAC_CHSTATUS_Type::CRCERR()'],['../unionUSB__DEVICE__STATUS__BK__Type.html#a799ad2dc8bc806d71fafa52aea2e91b7',1,'USB_DEVICE_STATUS_BK_Type::CRCERR()'],['../unionUSB__HOST__STATUS__BK__Type.html#a559505d098802441e343725557d9f5be',1,'USB_HOST_STATUS_BK_Type::CRCERR()']]],
['crcmode_2348',['CRCMODE',['../unionDMAC__CRCCTRL__Type.html#ac76f730a15fb90922f4f74677c09248a',1,'DMAC_CRCCTRL_Type']]],
['crcpoly_2349',['CRCPOLY',['../unionDMAC__CRCCTRL__Type.html#ac88bc441b93de83f6e99580895681431',1,'DMAC_CRCCTRL_Type']]],
['crcsrc_2350',['CRCSRC',['../unionDMAC__CRCCTRL__Type.html#a461a4b8e798cc8d25e862e12c1b6196d',1,'DMAC_CRCCTRL_Type']]],
['crcstatus_2351',['CRCSTATUS',['../structDmac.html#a71ddfa13f7a06dafff0134b78932d36f',1,'Dmac']]],
['crczero_2352',['CRCZERO',['../unionDMAC__CRCSTATUS__Type.html#a9e3c10bbd842368e87745939e3ffa092',1,'DMAC_CRCSTATUS_Type']]],
['crel_2353',['CREL',['../structCan.html#a9b0658634d3f2a9dbd4b899c54893511',1,'Can']]],
['crem_2354',['CREM',['../unionSDHC__NISTR__Type.html#a63f06031fb0f7e567b60e3c6657ae067',1,'SDHC_NISTR_Type::CREM()'],['../unionSDHC__NISTER__Type.html#a697d07bdb334004d1d99298d31d7643c',1,'SDHC_NISTER_Type::CREM()'],['../unionSDHC__NISIER__Type.html#a379f73410c99a68beab82d57c6477daf',1,'SDHC_NISIER_Type::CREM()']]],
['crmode_2355',['CRMODE',['../unionQSPI__INSTRFRAME__Type.html#abbcb827971433af94254a9c7eb9c11bd',1,'QSPI_INSTRFRAME_Type']]],
['crstext_2356',['CRSTEXT',['../unionDSU__STATUSA__Type.html#aa8f9dffc31935fc4537884bf167786df',1,'DSU_STATUSA_Type']]],
['csa_2357',['CSA',['../unionCAN__CCCR__Type.html#a2b4daf032320ac0c9e4ceb04eab23bbc',1,'CAN_CCCR_Type']]],
['cse_2358',['CSE',['../structGmac.html#aa88f7691858e954430747f4431ad75bd',1,'Gmac']]],
['csize_2359',['CSIZE',['../unionCMCC__TYPE__Type.html#a56b689cc2937945413f7e7518f75b20e',1,'CMCC_TYPE_Type']]],
['csizesw_2360',['CSIZESW',['../unionCMCC__CFG__Type.html#a01e26bfeb199c4c73660e39d0327a431',1,'CMCC_CFG_Type']]],
['csmode_2361',['CSMODE',['../unionQSPI__CTRLB__Type.html#a2f38f8719f25fec2aded949490118061',1,'QSPI_CTRLB_Type']]],
['csr_2362',['CSR',['../unionCAN__CCCR__Type.html#a68f3ec50188b39a67c397830fc57312e',1,'CAN_CCCR_Type::CSR()'],['../unionGMAC__CSE__Type.html#a9c3ac4dfe4304d5e2d0590f416c862b9',1,'GMAC_CSE_Type::CSR()']]],
['csrise_2363',['CSRISE',['../unionQSPI__INTENCLR__Type.html#af7fd69f6df15870be2385284008aea70',1,'QSPI_INTENCLR_Type::CSRISE()'],['../unionQSPI__INTENSET__Type.html#a54e6cfe0fded456c1f115964c22ee5a4',1,'QSPI_INTENSET_Type::CSRISE()'],['../unionQSPI__INTFLAG__Type.html#ae6c22aa80c54b555f339b289aa701ac4',1,'QSPI_INTFLAG_Type::CSRISE()']]],
['csstatus_2364',['CSSTATUS',['../unionQSPI__STATUS__Type.html#a8118ba918187482b90661ade08ff8cd3',1,'QSPI_STATUS_Type']]],
['cstep_2365',['CSTEP',['../unionOSCCTRL__DFLLMUL__Type.html#a2b0e3b0c09f38fe671c7083fc558cfc4',1,'OSCCTRL_DFLLMUL_Type']]],
['csts_2366',['CSTS',['../unionCMCC__SR__Type.html#ae17c73e40d8c38ca6482e25f6bea9055',1,'CMCC_SR_Type']]],
['ctrl_2367',['CTRL',['../structCcl.html#ae587eae18d53d49737c997b0ad5b1d79',1,'Ccl::CTRL()'],['../structCmcc.html#a539558149b21db7c991f0742d30e0481',1,'Cmcc::CTRL()'],['../structDmac.html#a30a5958da3426b2fa78c55aca13d1cc4',1,'Dmac::CTRL()'],['../structDsu.html#a37a45b5734e68c25515884abbc7354bc',1,'Dsu::CTRL()'],['../structIcm.html#a40d3beb0754307ba1eded5f30893cee8',1,'Icm::CTRL()'],['../unionPICOP__ICACHE__Type.html#ab17b3296e12ab2eb818fe77e2f19e6b4',1,'PICOP_ICACHE_Type::CTRL()'],['../structPicop.html#a1f9f49b07e95fb567650d7ccbbab6725',1,'Picop::CTRL()'],['../structPortGroup.html#a1a3e80749252d99d74d682c1df280d2c',1,'PortGroup::CTRL()']]],
['ctrl_5fpipe_2368',['CTRL_PIPE',['../structUsbHostDescBank.html#a06d1373e3a08b7b47910cfbc948e5841',1,'UsbHostDescBank']]],
['ctrla_2369',['CTRLA',['../structAc.html#a630e7dd91672e1c2b74ee673f39de93b',1,'Ac::CTRLA()'],['../structAdc.html#ab3df0d5e21bc31d52cd54efb82840a84',1,'Adc::CTRLA()'],['../structAes.html#acd4df706ec28523d4886564e202a9711',1,'Aes::CTRLA()'],['../structDac.html#ae64d3013c578889b3bc5bc9f0cfda66e',1,'Dac::CTRLA()'],['../structEic.html#a3d945ae1fb5d005dae206bdcec01db95',1,'Eic::CTRLA()'],['../structEvsys.html#a4a33bf48d2dc3b91ae2200208f02e0fb',1,'Evsys::CTRLA()'],['../structFreqm.html#aa4f58c1c25812f29b58cf44f427ccafe',1,'Freqm::CTRLA()'],['../structGclk.html#a7ff698dc8e032c78b1715da0e70770f9',1,'Gclk::CTRLA()'],['../structI2s.html#a789ed154702ba87dba0ff007ea7180e6',1,'I2s::CTRLA()'],['../structNvmctrl.html#a7e8613746f6e54c152a3eb2405ab30ef',1,'Nvmctrl::CTRLA()'],['../structPdec.html#abbd37a7f83fe231552ea40b7a98b695e',1,'Pdec::CTRLA()'],['../structPm.html#a5e802c548acab3cc3c21b1836d2a1a65',1,'Pm::CTRLA()'],['../structQspi.html#a036135899d8b56cb0da657f92fd7f33b',1,'Qspi::CTRLA()'],['../structRtcMode0.html#a2abe04f0a86b444e7fe75626da34656c',1,'RtcMode0::CTRLA()'],['../structRtcMode1.html#aff55b240f55cb5c0a961908289badf2e',1,'RtcMode1::CTRLA()'],['../structRtcMode2.html#a203d6680db5f26de7625e5111efa2d7c',1,'RtcMode2::CTRLA()'],['../structSercomI2cm.html#ada5a8e80a8a309062779659a8520a301',1,'SercomI2cm::CTRLA()'],['../structSercomI2cs.html#aae5bca0754fea380f560a66564f03434',1,'SercomI2cs::CTRLA()'],['../structSercomSpi.html#a6b5ceac8734777daa2c939a528bd8d7a',1,'SercomSpi::CTRLA()'],['../structSercomUsart.html#a0d6e3df70af3c2599e2227162d7e481d',1,'SercomUsart::CTRLA()'],['../structTcCount8.html#a21e470a693ab9a740db77ae81a32a204',1,'TcCount8::CTRLA()'],['../structTcCount16.html#a95d341d2612817272305505427408ed0',1,'TcCount16::CTRLA()'],['../structTcCount32.html#a61a55f6a4d2840eca59afee25ca7b410',1,'TcCount32::CTRLA()'],['../structTcc.html#a32d3c991c1c006f20a6b193618729798',1,'Tcc::CTRLA()'],['../structTrng.html#a74235f1e8bd44a01dd6a567ec9df56b9',1,'Trng::CTRLA()'],['../structUsbDevice.html#a977e777ffb0290c63814f9a91159cf97',1,'UsbDevice::CTRLA()'],['../structUsbHost.html#a6b6377f072f616ce614747aeafe2f6c9',1,'UsbHost::CTRLA()'],['../structWdt.html#a82aa228f50e070d4fbe05dd359823ef2',1,'Wdt::CTRLA()']]],
['ctrlb_2370',['CTRLB',['../structAc.html#a640781efaa613b49c38ed2480eaae796',1,'Ac::CTRLB()'],['../unionADC__SYNCBUSY__Type.html#a085516b5925d198fb91fe6e77015caa4',1,'ADC_SYNCBUSY_Type::CTRLB()'],['../unionADC__DSEQCTRL__Type.html#a83e7c5adbce10a335aab58ae62cb27fa',1,'ADC_DSEQCTRL_Type::CTRLB()'],['../unionADC__DSEQSTAT__Type.html#abaf82fbb2e33d3d19cb62d8d498aab28',1,'ADC_DSEQSTAT_Type::CTRLB()'],['../structAdc.html#a8c7b4543b3b320cbe078bd58fb7df93c',1,'Adc::CTRLB()'],['../structAes.html#ac1d68d335259d824880676a8d278b0c6',1,'Aes::CTRLB()'],['../structDac.html#a36fa8a7f785454c1af6d1bd41caa8e7a',1,'Dac::CTRLB()'],['../structFreqm.html#a792aea49e629c0ed49ffc0a450e7276e',1,'Freqm::CTRLB()'],['../structNvmctrl.html#aa229892d3c632b4304cbd8050d1cc439',1,'Nvmctrl::CTRLB()'],['../unionPDEC__SYNCBUSY__Type.html#a37ddad64abd51ef20b689007087a5094',1,'PDEC_SYNCBUSY_Type::CTRLB()'],['../structQspi.html#ad82456fa96981795d4d4dc4c737fe477',1,'Qspi::CTRLB()'],['../structRtcMode0.html#a2d76ecd6200517c846035d0bb2e71f7a',1,'RtcMode0::CTRLB()'],['../structRtcMode1.html#aecb38a11e4892168594d12cd7a688419',1,'RtcMode1::CTRLB()'],['../structRtcMode2.html#ac3cd3001373d1e27a11e6caeeb86f118',1,'RtcMode2::CTRLB()'],['../unionSERCOM__SPI__SYNCBUSY__Type.html#a37a51d0621dc3bd5e6b699849ee79ffd',1,'SERCOM_SPI_SYNCBUSY_Type::CTRLB()'],['../unionSERCOM__USART__SYNCBUSY__Type.html#a55f398ed8acd97a4b17c6d9746e962ca',1,'SERCOM_USART_SYNCBUSY_Type::CTRLB()'],['../structSercomI2cm.html#a16405c9197468e6eefef0672b99e7ef9',1,'SercomI2cm::CTRLB()'],['../structSercomI2cs.html#a156682f8e20732eb5357cb5950f40665',1,'SercomI2cs::CTRLB()'],['../structSercomSpi.html#a82682944a4341eac7e7cead927114f27',1,'SercomSpi::CTRLB()'],['../structSercomUsart.html#a13f48d6962c20b0fbbc697b339b24637',1,'SercomUsart::CTRLB()'],['../unionTC__SYNCBUSY__Type.html#a8b4271ce560f606129196396a23d1b90',1,'TC_SYNCBUSY_Type::CTRLB()'],['../unionTCC__SYNCBUSY__Type.html#a46320a82df89b0d6f7b47f3cae4ff987',1,'TCC_SYNCBUSY_Type::CTRLB()'],['../structUsbDevice.html#ad358347a8428b312e6068519448c1db1',1,'UsbDevice::CTRLB()'],['../structUsbHost.html#a11983b8aae9feb249c28b26da520cd24',1,'UsbHost::CTRLB()']]],
['ctrlbclr_2371',['CTRLBCLR',['../structPdec.html#af7558c80275bb620e95eedc468e2937a',1,'Pdec::CTRLBCLR()'],['../structTcCount8.html#abaaa0d5d4c7229363bb86f848c833d44',1,'TcCount8::CTRLBCLR()'],['../structTcCount16.html#a9b86c67d0b2da1e57b3b79ef728cd8fb',1,'TcCount16::CTRLBCLR()'],['../structTcCount32.html#a757bc243ef27207e5a2f2a6ba46829ff',1,'TcCount32::CTRLBCLR()'],['../structTcc.html#a2293428bb668bc0367cbe834987eca3e',1,'Tcc::CTRLBCLR()']]],
['ctrlbset_2372',['CTRLBSET',['../structPdec.html#a79e2931566772274ab603cbfbe194a64',1,'Pdec::CTRLBSET()'],['../structTcCount8.html#a73eb558d0c3044cda0b077a127ec310e',1,'TcCount8::CTRLBSET()'],['../structTcCount16.html#a88bbb63998950bc7726d01c9ea1a42c6',1,'TcCount16::CTRLBSET()'],['../structTcCount32.html#af95274ac7cdedd0ba52b925fbf8c0a89',1,'TcCount32::CTRLBSET()'],['../structTcc.html#abfdedd396ae9f95b86ed4b4333b08464',1,'Tcc::CTRLBSET()']]],
['ctrlc_2373',['CTRLC',['../structSercomI2cm.html#a90d7e46bb201992eaad6c632325d088b',1,'SercomI2cm::CTRLC()'],['../structSercomI2cs.html#a53630c729918ba7799ba9df739ff0f3f',1,'SercomI2cs::CTRLC()'],['../structSercomSpi.html#aee02e14b6e6984e182a88a0bbab2ab9b',1,'SercomSpi::CTRLC()'],['../structSercomUsart.html#a842428ce820db74cf8d48171b49299e5',1,'SercomUsart::CTRLC()']]],
['cts_2374',['CTS',['../unionSERCOM__USART__STATUS__Type.html#a5ec6f7015de65b66bf9c55354bb15645',1,'SERCOM_USART_STATUS_Type']]],
['ctsic_2375',['CTSIC',['../unionSERCOM__USART__INTENCLR__Type.html#a399d2efb71ffa2c46a3ac7e521c1b926',1,'SERCOM_USART_INTENCLR_Type::CTSIC()'],['../unionSERCOM__USART__INTENSET__Type.html#a3435a35f0b4812bbba2e443338728c51',1,'SERCOM_USART_INTENSET_Type::CTSIC()'],['../unionSERCOM__USART__INTFLAG__Type.html#a15b3e81d656de623a22f4b3efdf953ca',1,'SERCOM_USART_INTFLAG_Type::CTSIC()']]],
['cttsex_2376',['CTTSEX',['../unionPICOP__CMD__Type.html#abfed0c8c676cafa7c05f071b4090b9ce',1,'PICOP_CMD_Type']]],
['ctype_2377',['CTYPE',['../unionAES__CTRLA__Type.html#a7f40eaa9542a949ff7a7e9c6b8158706',1,'AES_CTRLA_Type']]],
['curbk_2378',['CURBK',['../unionUSB__DEVICE__EPSTATUSCLR__Type.html#af1c27e8499e0f79ce4664bab4c3e7d13',1,'USB_DEVICE_EPSTATUSCLR_Type::CURBK()'],['../unionUSB__HOST__PSTATUSCLR__Type.html#a1874b14a8b56e460097105ac50a734fd',1,'USB_HOST_PSTATUSCLR_Type::CURBK()'],['../unionUSB__DEVICE__EPSTATUSSET__Type.html#a18339b040824977b3649444ecdf774b3',1,'USB_DEVICE_EPSTATUSSET_Type::CURBK()'],['../unionUSB__HOST__PSTATUSSET__Type.html#a675a9fcc09a0f98c17e52ae5ac53193c',1,'USB_HOST_PSTATUSSET_Type::CURBK()'],['../unionUSB__DEVICE__EPSTATUS__Type.html#aa0d37b44030e031784b4f9196818d49a',1,'USB_DEVICE_EPSTATUS_Type::CURBK()'],['../unionUSB__HOST__PSTATUS__Type.html#a912bf04e1c0ee1aeeea9a6b3bd0997f3',1,'USB_HOST_PSTATUS_Type::CURBK()']]],
['curlim_2379',['CURLIM',['../unionSDHC__EISTR__Type.html#abac94140d0b014e54e55d510667876eb',1,'SDHC_EISTR_Type::CURLIM()'],['../unionSDHC__EISTER__Type.html#adfa8d7ea9774f320653b906706b021ad',1,'SDHC_EISTER_Type::CURLIM()'],['../unionSDHC__EISIER__Type.html#aacce3297ec67c0d2dc46a055435518f5',1,'SDHC_EISIER_Type::CURLIM()'],['../unionSDHC__FEREIS__Type.html#af1c0cb76bcb60692340575ec49e4b44c',1,'SDHC_FEREIS_Type::CURLIM()']]],
['cusmod_2380',['CUSMOD',['../unionDSU__PID3__Type.html#a04d461de3bfc43fdf0d13ce6bd6568fb',1,'DSU_PID3_Type']]],
['control_20area_20network_2381',['Control Area Network',['../group__SAME54__CAN.html',1,'']]],
['configurable_20custom_20logic_2382',['Configurable Custom Logic',['../group__SAME54__CCL.html',1,'']]],
['cortex_20m_20cache_20controller_2383',['Cortex M Cache Controller',['../group__SAME54__CMCC.html',1,'']]],
['cmsis_20definitions_2384',['CMSIS Definitions',['../group__SAME54N19A__cmsis.html',1,'']]],
['cmsis_20definitions_2385',['CMSIS Definitions',['../group__SAME54N20A__cmsis.html',1,'']]],
['cmsis_20definitions_2386',['CMSIS Definitions',['../group__SAME54P19A__cmsis.html',1,'']]],
['cmsis_20definitions_2387',['CMSIS Definitions',['../group__SAME54P20A__cmsis.html',1,'']]]
];

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

@ -1,173 +1,173 @@
var searchData=
[
['f0ai_3755',['F0AI',['../unionCAN__RXF0A__Type.html#a5d9cbf79f7ce354d35fffe632e68090b',1,'CAN_RXF0A_Type']]],
['f0ds_3756',['F0DS',['../unionCAN__RXESC__Type.html#a1e3573d85305c9ca031729767215e17b',1,'CAN_RXESC_Type']]],
['f0f_3757',['F0F',['../unionCAN__RXF0S__Type.html#a4c03a8f8ea99effd4573baa609279b66',1,'CAN_RXF0S_Type']]],
['f0fl_3758',['F0FL',['../unionCAN__RXF0S__Type.html#a65ba507c60a3ecf0671dcab39fc00159',1,'CAN_RXF0S_Type']]],
['f0gi_3759',['F0GI',['../unionCAN__RXF0S__Type.html#aad52375c147f1db9004308b71fbb9e3d',1,'CAN_RXF0S_Type']]],
['f0om_3760',['F0OM',['../unionCAN__RXF0C__Type.html#a426ac45ff8535b559256d41ed5cc3ebc',1,'CAN_RXF0C_Type']]],
['f0pi_3761',['F0PI',['../unionCAN__RXF0S__Type.html#a92580bece11dc7e83d610414538a7460',1,'CAN_RXF0S_Type']]],
['f0s_3762',['F0S',['../unionCAN__RXF0C__Type.html#acf8fb02db3370b1bcebdab6b6773f1fa',1,'CAN_RXF0C_Type']]],
['f0sa_3763',['F0SA',['../unionCAN__RXF0C__Type.html#a1f1655069e168b83d9bcf3c6a2c41715',1,'CAN_RXF0C_Type']]],
['f0wm_3764',['F0WM',['../unionCAN__RXF0C__Type.html#af3dca286c1d39afa5abafdba75bfa3f2',1,'CAN_RXF0C_Type']]],
['f1ai_3765',['F1AI',['../unionCAN__RXF1A__Type.html#a53dd186166604fed7310eb4939ccf0aa',1,'CAN_RXF1A_Type']]],
['f1ds_3766',['F1DS',['../unionCAN__RXESC__Type.html#ab006612464e20e0ad3c8acc04605801b',1,'CAN_RXESC_Type']]],
['f1f_3767',['F1F',['../unionCAN__RXF1S__Type.html#a7aecb70d82b033cd60a22b6545357e5e',1,'CAN_RXF1S_Type']]],
['f1fl_3768',['F1FL',['../unionCAN__RXF1S__Type.html#a218899e8f6d558b2617e56e3d0956ebd',1,'CAN_RXF1S_Type']]],
['f1gi_3769',['F1GI',['../unionCAN__RXF1S__Type.html#ab164bb56acc6e47005a7db6f52e08385',1,'CAN_RXF1S_Type']]],
['f1om_3770',['F1OM',['../unionCAN__RXF1C__Type.html#a887f477a85cc55a2eed23ae7667b0a9f',1,'CAN_RXF1C_Type']]],
['f1pi_3771',['F1PI',['../unionCAN__RXF1S__Type.html#a8d24e296b30b519290b7ca1068d0afdb',1,'CAN_RXF1S_Type']]],
['f1s_3772',['F1S',['../unionCAN__RXF1C__Type.html#acc370cd233a0978f5255fe6751086e9f',1,'CAN_RXF1C_Type']]],
['f1sa_3773',['F1SA',['../unionCAN__RXF1C__Type.html#a1c8043a8c6eadaaa489670a5740d1652',1,'CAN_RXF1C_Type']]],
['f1wm_3774',['F1WM',['../unionCAN__RXF1C__Type.html#aa7c531e659edf745d99d3297b5d7efd0',1,'CAN_RXF1C_Type']]],
['fail_3775',['FAIL',['../unionDSU__STATUSA__Type.html#aba8f270d5cf8d7fee472b5888bea81b8',1,'DSU_STATUSA_Type']]],
['family_3776',['FAMILY',['../unionDSU__DID__Type.html#a391b0d47c1bbd48442298eaabe71ca61',1,'DSU_DID_Type']]],
['fastwkup_3777',['FASTWKUP',['../unionPM__STDBYCFG__Type.html#aa94f621ae28b5ea3258c168f810eeb3b',1,'PM_STDBYCFG_Type']]],
['fault0_3778',['FAULT0',['../unionTCC__INTENCLR__Type.html#a5e68e07421de78e592d209263dd3a951',1,'TCC_INTENCLR_Type::FAULT0()'],['../unionTCC__INTENSET__Type.html#ab1c77b6330388cf8c45c1e9c23aa6de7',1,'TCC_INTENSET_Type::FAULT0()'],['../unionTCC__INTFLAG__Type.html#aec7ed559ce6e33374c53927f9ea42c4d',1,'TCC_INTFLAG_Type::FAULT0()'],['../unionTCC__STATUS__Type.html#a2ee49fc06e92040181c623faad8b3ac8',1,'TCC_STATUS_Type::FAULT0()']]],
['fault0in_3779',['FAULT0IN',['../unionTCC__STATUS__Type.html#a1ad2c7c4ad499edfe83adefc544eb0d7',1,'TCC_STATUS_Type']]],
['fault1_3780',['FAULT1',['../unionTCC__INTENCLR__Type.html#ad6c33af61cc2e852e37ac5eeaeedf84f',1,'TCC_INTENCLR_Type::FAULT1()'],['../unionTCC__INTENSET__Type.html#aa9894906a772800c45d6f97f9652aabd',1,'TCC_INTENSET_Type::FAULT1()'],['../unionTCC__INTFLAG__Type.html#a6600c3cbdd5ec97cf3fde10d5a6b6297',1,'TCC_INTFLAG_Type::FAULT1()'],['../unionTCC__STATUS__Type.html#a1d4f1ab360724111bee1afa2447589eb',1,'TCC_STATUS_Type::FAULT1()']]],
['fault1in_3781',['FAULT1IN',['../unionTCC__STATUS__Type.html#a46e013e0e1d95e96ab601121c6acd080',1,'TCC_STATUS_Type']]],
['faulta_3782',['FAULTA',['../unionTCC__INTENCLR__Type.html#a4c7c47dfdd5a3c509ff874555d77a2e1',1,'TCC_INTENCLR_Type::FAULTA()'],['../unionTCC__INTENSET__Type.html#afe6343da354e560161490c0a91e321c9',1,'TCC_INTENSET_Type::FAULTA()'],['../unionTCC__INTFLAG__Type.html#a6146e3929567b1431808ae23d1c74658',1,'TCC_INTFLAG_Type::FAULTA()'],['../unionTCC__STATUS__Type.html#a81d37c6afaf66dfb5643d8f3cab39225',1,'TCC_STATUS_Type::FAULTA()']]],
['faultain_3783',['FAULTAIN',['../unionTCC__STATUS__Type.html#a1a930eab9a46bc36d01a199dfb5c692f',1,'TCC_STATUS_Type']]],
['faultb_3784',['FAULTB',['../unionTCC__INTENCLR__Type.html#aa09b86bf223390a74a860b50ddde30de',1,'TCC_INTENCLR_Type::FAULTB()'],['../unionTCC__INTENSET__Type.html#a00f88191f08d729605e5a51523548fc2',1,'TCC_INTENSET_Type::FAULTB()'],['../unionTCC__INTFLAG__Type.html#a30afbb8e3f3d323a0519fae61d72d0e3',1,'TCC_INTFLAG_Type::FAULTB()'],['../unionTCC__STATUS__Type.html#a808f994f9503813627800bcff725e3d6',1,'TCC_STATUS_Type::FAULTB()']]],
['faultbin_3785',['FAULTBIN',['../unionTCC__STATUS__Type.html#ae960752282ef40bd716579c4757a03b4',1,'TCC_STATUS_Type']]],
['fbldo_3786',['FBLDO',['../unionGMAC__DCFGR__Type.html#a5a7b74a241d13bcfdf21c2737d145ad5',1,'GMAC_DCFGR_Type']]],
['fcd_3787',['FCD',['../unionSDHC__MC1R__Type.html#a573365fd428be543a8872db103e27836',1,'SDHC_MC1R_Type']]],
['fckr_3788',['FCKR',['../unionGMAC__FCSE__Type.html#afca3e08a560084b60a0ae60a3eb8a13c',1,'GMAC_FCSE_Type']]],
['fcse_3789',['FCSE',['../structGmac.html#a29d276779e1bb18e91f5cc6efb5b6b8b',1,'Gmac']]],
['fctrla_3790',['FCTRLA',['../structTcc.html#a5abb734e3b76cfbe2e535ac65f5a205e',1,'Tcc']]],
['fctrlb_3791',['FCTRLB',['../structTcc.html#aff0b84e22604af0a6b12b824ab6c1ee3',1,'Tcc']]],
['fd_3792',['FD',['../unionGMAC__NCFGR__Type.html#a0973e9b8e95896d7b75db3f73622e5f6',1,'GMAC_NCFGR_Type']]],
['fddbd_3793',['FDDBD',['../unionTCC__DBGCTRL__Type.html#a236a05363ccbb571cd09e61d3590b665',1,'TCC_DBGCTRL_Type']]],
['fdf_3794',['FDF',['../unionCAN__RXBE__1__Type.html#a998281826a9c73359a93918fc49b31a6',1,'CAN_RXBE_1_Type::FDF()'],['../unionCAN__RXF0E__1__Type.html#a6d52425e5425e87b3e265fdb089e1e62',1,'CAN_RXF0E_1_Type::FDF()'],['../unionCAN__RXF1E__1__Type.html#a1e845cb9be5467e0469ea0da04babbc1',1,'CAN_RXF1E_1_Type::FDF()'],['../unionCAN__TXBE__1__Type.html#aa11255d0d120b19091e8e8fb83b17aa7',1,'CAN_TXBE_1_Type::FDF()'],['../unionCAN__TXEFE__1__Type.html#a375fc4930439417b2b57dcdd6c554875',1,'CAN_TXEFE_1_Type::FDF()']]],
['fdoe_3795',['FDOE',['../unionCAN__CCCR__Type.html#a3c9cc36196608eedf7c2ce1e9e094b9e',1,'CAN_CCCR_Type']]],
['feraces_3796',['FERACES',['../structSdhc.html#a2c038b5c61b50be78a233d9f32390010',1,'Sdhc']]],
['fereis_3797',['FEREIS',['../structSdhc.html#a44bc2b90bd693ec2aebd11501f2059e8',1,'Sdhc']]],
['ferr_3798',['FERR',['../unionDMAC__INTPEND__Type.html#a3a93580c2d1309d94b7cc61ef6324a09',1,'DMAC_INTPEND_Type::FERR()'],['../unionDMAC__CHSTATUS__Type.html#a819a9c2e2e356a9a24663d84b391bf87',1,'DMAC_CHSTATUS_Type::FERR()'],['../unionSERCOM__USART__STATUS__Type.html#a39f0ae8b64ef410fa70644f515fb41bb',1,'SERCOM_USART_STATUS_Type::FERR()']]],
['fext_3799',['FEXT',['../unionDAC__DACCTRL__Type.html#a09f51b06c0b7ad014bc0e710237bcb6e',1,'DAC_DACCTRL_Type']]],
['fidx_3800',['FIDX',['../unionCAN__HPMS__Type.html#abe5080d89892602ce353be645162160b',1,'CAN_HPMS_Type::FIDX()'],['../unionCAN__RXBE__1__Type.html#a84cc698f177275dc97c99d161c0a6e21',1,'CAN_RXBE_1_Type::FIDX()'],['../unionCAN__RXF0E__1__Type.html#a67be61a69047de5d3ff01174de311f65',1,'CAN_RXF0E_1_Type::FIDX()'],['../unionCAN__RXF1E__1__Type.html#a9f33dbc3b181ef99b11b2d9586c4888f',1,'CAN_RXF1E_1_Type::FIDX()']]],
['filten0_3801',['FILTEN0',['../unionEIC__CONFIG__Type.html#a2b015cff268d4e52be4e188543c5d770',1,'EIC_CONFIG_Type']]],
['filten1_3802',['FILTEN1',['../unionEIC__CONFIG__Type.html#afa6acfc8ed18f9ef3672752e5046af16',1,'EIC_CONFIG_Type']]],
['filten2_3803',['FILTEN2',['../unionEIC__CONFIG__Type.html#ad5d18c5a022deec20e80760fb13482ca',1,'EIC_CONFIG_Type']]],
['filten3_3804',['FILTEN3',['../unionEIC__CONFIG__Type.html#a1347bd86400d8ef70b06dfdb0a6436c8',1,'EIC_CONFIG_Type']]],
['filten4_3805',['FILTEN4',['../unionEIC__CONFIG__Type.html#a159a1e94e7994980bd2b663bfc8e4030',1,'EIC_CONFIG_Type']]],
['filten5_3806',['FILTEN5',['../unionEIC__CONFIG__Type.html#a6d3559efac112cf59cc5695483753485',1,'EIC_CONFIG_Type']]],
['filten6_3807',['FILTEN6',['../unionEIC__CONFIG__Type.html#acd78ffeb414c4774665845290f19aef1',1,'EIC_CONFIG_Type']]],
['filten7_3808',['FILTEN7',['../unionEIC__CONFIG__Type.html#a7612b772fb96ced4f69c842b1d0591ce',1,'EIC_CONFIG_Type']]],
['filter_3809',['FILTER',['../unionOSCCTRL__DPLLCTRLB__Type.html#a2e84f542968a325e76df1151d27f8136',1,'OSCCTRL_DPLLCTRLB_Type::FILTER()'],['../unionPDEC__SYNCBUSY__Type.html#a467a228fc6298bd26123ba23b7909af6',1,'PDEC_SYNCBUSY_Type::FILTER()'],['../unionPDEC__FILTER__Type.html#a6c33edf5901d807efdb6454dc136f7ab',1,'PDEC_FILTER_Type::FILTER()'],['../structPdec.html#a3a3b3c5aec98674ae833b90964dd1877',1,'Pdec::FILTER()']]],
['filterbuf_3810',['FILTERBUF',['../unionPDEC__FILTERBUF__Type.html#a4752a2c1fb0133c1fe0347ff7dcffbfc',1,'PDEC_FILTERBUF_Type::FILTERBUF()'],['../structPdec.html#ac2e29f66b8c9c739ac70cddcbc296c1f',1,'Pdec::FILTERBUF()']]],
['filterbufv_3811',['FILTERBUFV',['../unionPDEC__STATUS__Type.html#a086f2987cb0e00df7f99b225b266fd8d',1,'PDEC_STATUS_Type']]],
['filterval_3812',['FILTERVAL',['../unionTCC__FCTRLA__Type.html#a60c863921b65781ab9382fecdc82457b',1,'TCC_FCTRLA_Type::FILTERVAL()'],['../unionTCC__FCTRLB__Type.html#ad7264cd2cd24a5809d834ff77e0e9c59',1,'TCC_FCTRLB_Type::FILTERVAL()']]],
['filterval0_3813',['FILTERVAL0',['../unionTCC__DRVCTRL__Type.html#a76c04940ef7235e890e57cd6b956953c',1,'TCC_DRVCTRL_Type']]],
['filterval1_3814',['FILTERVAL1',['../unionTCC__DRVCTRL__Type.html#af45629ce31a538d5fbacd0be87490a7e',1,'TCC_DRVCTRL_Type']]],
['filtsel_3815',['FILTSEL',['../unionCCL__LUTCTRL__Type.html#a238de2df04aaf2a103000ab658fc5067',1,'CCL_LUTCTRL_Type']]],
['fine_3816',['FINE',['../unionOSCCTRL__DFLLVAL__Type.html#a4a3e33bbc911591455e56f8cbc5573ea',1,'OSCCTRL_DFLLVAL_Type']]],
['fkbc_3817',['FKBC',['../unionDSU__PID4__Type.html#a6fdd5fe268e92ffe333394c0eb34431a',1,'DSU_PID4_Type']]],
['fl_3818',['FL',['../unionGMAC__IPGS__Type.html#a59446cefc4c974110904e8105b998a21',1,'GMAC_IPGS_Type']]],
['flash_5f_3819',['FLASH_',['../unionPAC__INTFLAGAHB__Type.html#a1493abd9914771840e728a4dab68a1ea',1,'PAC_INTFLAGAHB_Type']]],
['flash_5faddr_3820',['FLASH_ADDR',['../same54n19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p20a.h']]],
['flash_5falt_5f_3821',['FLASH_ALT_',['../unionPAC__INTFLAGAHB__Type.html#a7d2a708765a14bb35460c63de3b75590',1,'PAC_INTFLAGAHB_Type']]],
['flen_3822',['FLEN',['../unionAC__COMPCTRL__Type.html#ad0a2a07795535199a9823b0b8acf9e6b',1,'AC_COMPCTRL_Type']]],
['flenc_3823',['FLENC',['../unionUSB__HOST__HSOFC__Type.html#a5bbf57b401100c8848d48603fadb113b',1,'USB_HOST_HSOFC_Type']]],
['flence_3824',['FLENCE',['../unionUSB__HOST__HSOFC__Type.html#ac2e5d473d59628b1c821f434b414be37',1,'USB_HOST_HSOFC_Type']]],
['flenhigh_3825',['FLENHIGH',['../unionUSB__HOST__FLENHIGH__Type.html#ab671c7e2bc912ebf4303016b15ba2002',1,'USB_HOST_FLENHIGH_Type::FLENHIGH()'],['../structUsbHost.html#a4e34810c36837b751bf20228d944e0c0',1,'UsbHost::FLENHIGH()']]],
['flesa_3826',['FLESA',['../unionCAN__XIDFC__Type.html#ac28b1f145dba49d237278fb59721c45f',1,'CAN_XIDFC_Type']]],
['flssa_3827',['FLSSA',['../unionCAN__SIDFC__Type.html#a9585c63e44ab2dbe5c3dfb35d43e4704',1,'CAN_SIDFC_Type']]],
['flst_3828',['FLST',['../unionCAN__HPMS__Type.html#a5d200d174cb5d80d39862a9d4e9d0b6d',1,'CAN_HPMS_Type']]],
['flush_3829',['FLUSH',['../unionADC__SWTRIG__Type.html#afb17d75ef059bf95a70a70d89113f64d',1,'ADC_SWTRIG_Type']]],
['flushei_3830',['FLUSHEI',['../unionADC__EVCTRL__Type.html#a850525624374a035c5a3483c8bde43c1',1,'ADC_EVCTRL_Type']]],
['flushinv_3831',['FLUSHINV',['../unionADC__EVCTRL__Type.html#a2e3b8cb7011192b715d0baa305bf0555',1,'ADC_EVCTRL_Type']]],
['fml_3832',['FML',['../unionGMAC__RJFML__Type.html#a852f5b4e7278c0d672b8ae28a4c82e07',1,'GMAC_RJFML_Type']]],
['fmt_3833',['FMT',['../unionDSU__ENTRY0__Type.html#aa3926f1f9527552a50337fd2b24716ff',1,'DSU_ENTRY0_Type']]],
['fncerr_3834',['FNCERR',['../unionUSB__DEVICE__FNUM__Type.html#aaba2ed5b553c15548ba913fee7b60c99',1,'USB_DEVICE_FNUM_Type']]],
['fnp_3835',['FNP',['../unionGMAC__NCR__Type.html#aeb3db755f8deae191753a425ea930bd3',1,'GMAC_NCR_Type']]],
['fnum_3836',['FNUM',['../unionUSB__DEVICE__FNUM__Type.html#ab0909f60bde0311015f499ef27b12fe1',1,'USB_DEVICE_FNUM_Type::FNUM()'],['../unionUSB__HOST__FNUM__Type.html#a27a3e647291e7705c11a06412982a565',1,'USB_HOST_FNUM_Type::FNUM()'],['../structUsbDevice.html#ae043d68c4a08472d518a3ba9c437d713',1,'UsbDevice::FNUM()'],['../structUsbHost.html#a51847db46b50a06f78b11cbc350e7fb9',1,'UsbHost::FNUM()']]],
['form_3837',['FORM',['../unionSERCOM__SPI__CTRLA__Type.html#aeded1c31d8e9f76a4c7087a437dde5ba',1,'SERCOM_SPI_CTRLA_Type::FORM()'],['../unionSERCOM__USART__CTRLA__Type.html#a2c9689dd3d4a53b17222f27d9557391c',1,'SERCOM_USART_CTRLA_Type::FORM()']]],
['fp_3838',['FP',['../unionSERCOM__USART__BAUD__Type.html#aa11632481554fd45a69881df6184d8b1',1,'SERCOM_USART_BAUD_Type']]],
['fr_3839',['FR',['../structGmac.html#a9cdc5e0aef0aac216429bd60de6e6af3',1,'Gmac']]],
['frac_3840',['FRAC',['../unionSERCOM__USART__BAUD__Type.html#a67b6b6614082614d11a2c9b48e6e3b88',1,'SERCOM_USART_BAUD_Type']]],
['fracfp_3841',['FRACFP',['../unionSERCOM__USART__BAUD__Type.html#aa3ed093321ee190c447122bdde14f276',1,'SERCOM_USART_BAUD_Type']]],
['freerun_3842',['FREERUN',['../unionADC__CTRLB__Type.html#a44b8503d6efe5e11f487f946e984e2d0',1,'ADC_CTRLB_Type']]],
['freqcorr_3843',['FREQCORR',['../unionRTC__MODE0__SYNCBUSY__Type.html#addd5348ec4373bc161aa6dfbeea60093',1,'RTC_MODE0_SYNCBUSY_Type::FREQCORR()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#a7ab7e90192287a6a2566541e1040cc01',1,'RTC_MODE1_SYNCBUSY_Type::FREQCORR()'],['../unionRTC__MODE2__SYNCBUSY__Type.html#ae7a26e887a9cdec3ae58f2dc2ed71563',1,'RTC_MODE2_SYNCBUSY_Type::FREQCORR()'],['../structRtcMode0.html#a27061dd9313f1cc792464185c137975b',1,'RtcMode0::FREQCORR()'],['../structRtcMode1.html#a8e51e15f0ef511e6ab5410e1a58cf3ce',1,'RtcMode1::FREQCORR()'],['../structRtcMode2.html#a8fa2fd1600ed1ee29e2d929a6f354089',1,'RtcMode2::FREQCORR()']]],
['freqm_3844',['Freqm',['../structFreqm.html',1,'Freqm'],['../same54n19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p20a.h']]],
['freqm_2eh_3845',['freqm.h',['../component_2freqm_8h.html',1,'(Global Namespace)'],['../instance_2freqm_8h.html',1,'(Global Namespace)']]],
['freqm_5f_3846',['FREQM_',['../unionMCLK__APBAMASK__Type.html#afc2fdf74f23d7546f7c7001e8ef02e0e',1,'MCLK_APBAMASK_Type::FREQM_()'],['../unionPAC__INTFLAGA__Type.html#a5dd12b70fc137215000d2bf3875da549',1,'PAC_INTFLAGA_Type::FREQM_()'],['../unionPAC__STATUSA__Type.html#a2fcc6b477e51fefc9f457effc0c61c04',1,'PAC_STATUSA_Type::FREQM_()']]],
['freqm_5fcfga_5fmask_3847',['FREQM_CFGA_MASK',['../component_2freqm_8h.html#a33de8b24f88bedb7bbd286af13e23a87',1,'freqm.h']]],
['freqm_5fcfga_5foffset_3848',['FREQM_CFGA_OFFSET',['../component_2freqm_8h.html#a5835c5f151d4b6bd35bd6fc7061ea6a4',1,'freqm.h']]],
['freqm_5fcfga_5frefnum_5fpos_3849',['FREQM_CFGA_REFNUM_Pos',['../component_2freqm_8h.html#ac3930b6975b7736bb6a5ee670a28a6e4',1,'freqm.h']]],
['freqm_5fcfga_5fresetvalue_3850',['FREQM_CFGA_RESETVALUE',['../component_2freqm_8h.html#afcf71438184c4b9afc75af14277496a0',1,'freqm.h']]],
['freqm_5fcfga_5ftype_3851',['FREQM_CFGA_Type',['../unionFREQM__CFGA__Type.html',1,'']]],
['freqm_5fctrla_5fenable_5fpos_3852',['FREQM_CTRLA_ENABLE_Pos',['../component_2freqm_8h.html#ab3660ed3c6f680738687786dc974b0fc',1,'freqm.h']]],
['freqm_5fctrla_5fmask_3853',['FREQM_CTRLA_MASK',['../component_2freqm_8h.html#a451d4d6e44dbf4f144d3e834468e4be2',1,'freqm.h']]],
['freqm_5fctrla_5foffset_3854',['FREQM_CTRLA_OFFSET',['../component_2freqm_8h.html#a623254aadaef4942d2fe0e4f72deb9b3',1,'freqm.h']]],
['freqm_5fctrla_5fresetvalue_3855',['FREQM_CTRLA_RESETVALUE',['../component_2freqm_8h.html#a8656c15db87048e0eeadc09522615e12',1,'freqm.h']]],
['freqm_5fctrla_5fswrst_5fpos_3856',['FREQM_CTRLA_SWRST_Pos',['../component_2freqm_8h.html#a4487b5f3d94c3c8cfbb649230f5fd7e4',1,'freqm.h']]],
['freqm_5fctrla_5ftype_3857',['FREQM_CTRLA_Type',['../unionFREQM__CTRLA__Type.html',1,'']]],
['freqm_5fctrlb_5fmask_3858',['FREQM_CTRLB_MASK',['../component_2freqm_8h.html#abab11724db32ac2d0bcf9d9a9003e545',1,'freqm.h']]],
['freqm_5fctrlb_5foffset_3859',['FREQM_CTRLB_OFFSET',['../component_2freqm_8h.html#a71beaeca8787b49fac6e47b3f98ed178',1,'freqm.h']]],
['freqm_5fctrlb_5fresetvalue_3860',['FREQM_CTRLB_RESETVALUE',['../component_2freqm_8h.html#a437850109ccd64c5e708704920993da7',1,'freqm.h']]],
['freqm_5fctrlb_5fstart_5fpos_3861',['FREQM_CTRLB_START_Pos',['../component_2freqm_8h.html#ac575d2e8b327956f7a9271a14dff4745',1,'freqm.h']]],
['freqm_5fctrlb_5ftype_3862',['FREQM_CTRLB_Type',['../unionFREQM__CTRLB__Type.html',1,'']]],
['freqm_5finst_5fnum_3863',['FREQM_INST_NUM',['../same54n19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p20a.h']]],
['freqm_5finsts_3864',['FREQM_INSTS',['../same54n19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p20a.h']]],
['freqm_5fintenclr_5fdone_5fpos_3865',['FREQM_INTENCLR_DONE_Pos',['../component_2freqm_8h.html#a3d742bee7a8d19b4734a4a29d30622f7',1,'freqm.h']]],
['freqm_5fintenclr_5fmask_3866',['FREQM_INTENCLR_MASK',['../component_2freqm_8h.html#a1956ca13e973b88eea66618c51ef48b3',1,'freqm.h']]],
['freqm_5fintenclr_5foffset_3867',['FREQM_INTENCLR_OFFSET',['../component_2freqm_8h.html#a31da73dd35a463ca4eea941ee1f55e1c',1,'freqm.h']]],
['freqm_5fintenclr_5fresetvalue_3868',['FREQM_INTENCLR_RESETVALUE',['../component_2freqm_8h.html#a4cfbcaf309592f477f8aca52d4da0b00',1,'freqm.h']]],
['freqm_5fintenclr_5ftype_3869',['FREQM_INTENCLR_Type',['../unionFREQM__INTENCLR__Type.html',1,'']]],
['freqm_5fintenset_5fdone_5fpos_3870',['FREQM_INTENSET_DONE_Pos',['../component_2freqm_8h.html#a9343bb0070741ae8863e061d501d7815',1,'freqm.h']]],
['freqm_5fintenset_5fmask_3871',['FREQM_INTENSET_MASK',['../component_2freqm_8h.html#aa7c2b1805de385754efffce342e99817',1,'freqm.h']]],
['freqm_5fintenset_5foffset_3872',['FREQM_INTENSET_OFFSET',['../component_2freqm_8h.html#a322e0b560edc5ac084d4f9a338850b55',1,'freqm.h']]],
['freqm_5fintenset_5fresetvalue_3873',['FREQM_INTENSET_RESETVALUE',['../component_2freqm_8h.html#aad4904b7b0bd47ea2312c0b14a12ca48',1,'freqm.h']]],
['freqm_5fintenset_5ftype_3874',['FREQM_INTENSET_Type',['../unionFREQM__INTENSET__Type.html',1,'']]],
['freqm_5fintflag_5fdone_5fpos_3875',['FREQM_INTFLAG_DONE_Pos',['../component_2freqm_8h.html#a297418104703e236fdfb9ec6f8d82f6e',1,'freqm.h']]],
['freqm_5fintflag_5fmask_3876',['FREQM_INTFLAG_MASK',['../component_2freqm_8h.html#a1975f795a95041fb4f0ab01e25cceeea',1,'freqm.h']]],
['freqm_5fintflag_5foffset_3877',['FREQM_INTFLAG_OFFSET',['../component_2freqm_8h.html#aea43e28481d2a027a76f4474a6ed8532',1,'freqm.h']]],
['freqm_5fintflag_5fresetvalue_3878',['FREQM_INTFLAG_RESETVALUE',['../component_2freqm_8h.html#a302d92b17313ed5b9b94446827b559b6',1,'freqm.h']]],
['freqm_5fintflag_5ftype_3879',['FREQM_INTFLAG_Type',['../unionFREQM__INTFLAG__Type.html',1,'']]],
['freqm_5firqn_3880',['FREQM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p20a.h']]],
['freqm_5fstatus_5fbusy_5fpos_3881',['FREQM_STATUS_BUSY_Pos',['../component_2freqm_8h.html#a39ad257ccdcb50dae4632c592e8a0faa',1,'freqm.h']]],
['freqm_5fstatus_5fmask_3882',['FREQM_STATUS_MASK',['../component_2freqm_8h.html#a38c7441e04c013ceb30abc6a68828221',1,'freqm.h']]],
['freqm_5fstatus_5foffset_3883',['FREQM_STATUS_OFFSET',['../component_2freqm_8h.html#a9bc1627588f595c84efac70a1897b435',1,'freqm.h']]],
['freqm_5fstatus_5fovf_5fpos_3884',['FREQM_STATUS_OVF_Pos',['../component_2freqm_8h.html#abf3e33ca9df370bbf1df2fdad0206755',1,'freqm.h']]],
['freqm_5fstatus_5fresetvalue_3885',['FREQM_STATUS_RESETVALUE',['../component_2freqm_8h.html#a2246bd9800c0c53833e7d0f205ad80bd',1,'freqm.h']]],
['freqm_5fstatus_5ftype_3886',['FREQM_STATUS_Type',['../unionFREQM__STATUS__Type.html',1,'']]],
['freqm_5fsyncbusy_5fenable_5fpos_3887',['FREQM_SYNCBUSY_ENABLE_Pos',['../component_2freqm_8h.html#a470279b12e764e5d1bf66fae613e87bb',1,'freqm.h']]],
['freqm_5fsyncbusy_5fmask_3888',['FREQM_SYNCBUSY_MASK',['../component_2freqm_8h.html#a82a1747c20d325fa4cd125e7130e99cd',1,'freqm.h']]],
['freqm_5fsyncbusy_5foffset_3889',['FREQM_SYNCBUSY_OFFSET',['../component_2freqm_8h.html#a5cb7477e6e83f8b6a50d38efc516030b',1,'freqm.h']]],
['freqm_5fsyncbusy_5fresetvalue_3890',['FREQM_SYNCBUSY_RESETVALUE',['../component_2freqm_8h.html#af1bb6a6e94796c96bb6e082d30786b2d',1,'freqm.h']]],
['freqm_5fsyncbusy_5fswrst_5fpos_3891',['FREQM_SYNCBUSY_SWRST_Pos',['../component_2freqm_8h.html#afa5d4860d253f6e57ec3854826e506de',1,'freqm.h']]],
['freqm_5fsyncbusy_5ftype_3892',['FREQM_SYNCBUSY_Type',['../unionFREQM__SYNCBUSY__Type.html',1,'']]],
['freqm_5fvalue_5fmask_3893',['FREQM_VALUE_MASK',['../component_2freqm_8h.html#a129fbc326338dee08cf86f78259703de',1,'freqm.h']]],
['freqm_5fvalue_5foffset_3894',['FREQM_VALUE_OFFSET',['../component_2freqm_8h.html#a667b866874d1a6766ecff28635ba6616',1,'freqm.h']]],
['freqm_5fvalue_5fresetvalue_3895',['FREQM_VALUE_RESETVALUE',['../component_2freqm_8h.html#a7e701385f3015d5c8ed4e54b2641f33d',1,'freqm.h']]],
['freqm_5fvalue_5ftype_3896',['FREQM_VALUE_Type',['../unionFREQM__VALUE__Type.html',1,'']]],
['freqm_5fvalue_5fvalue_5fpos_3897',['FREQM_VALUE_VALUE_Pos',['../component_2freqm_8h.html#ad6aa2e8dfd43141479ecae0eb156d610',1,'freqm.h']]],
['frsts_3898',['FRSTS',['../unionPCC__MR__Type.html#adcb7742f8decf8f9f701a5ceb96b1846',1,'PCC_MR_Type']]],
['frx_3899',['FRX',['../unionGMAC__FR__Type.html#a642ef6b3b1c3392d996e140bb4ac4813',1,'GMAC_FR_Type']]],
['fsdclkd_3900',['FSDCLKD',['../unionSDHC__CC2R__Type.html#a51b291f5e5470d5d6801fdacbc0ced28',1,'SDHC_CC2R_Type']]],
['fsinv_3901',['FSINV',['../unionI2S__CLKCTRL__Type.html#ad41b7dc3a089283f15238eed06bc09df',1,'I2S_CLKCTRL_Type']]],
['fsmstate_3902',['FSMSTATE',['../unionUSB__FSMSTATUS__Type.html#af6fc942605177caed5b2788bd1addd2e',1,'USB_FSMSTATUS_Type']]],
['fsmstatus_3903',['FSMSTATUS',['../structUsbDevice.html#a1e3bf9c3d3449bbdc081c63b2920e20d',1,'UsbDevice::FSMSTATUS()'],['../structUsbHost.html#af3d788719b3b4e098ed2aa851d4067a6',1,'UsbHost::FSMSTATUS()']]],
['fsoutinv_3904',['FSOUTINV',['../unionI2S__CLKCTRL__Type.html#af8c30cbb790c3c8b4ffcb7d089083ca6',1,'I2S_CLKCTRL_Type']]],
['fssel_3905',['FSSEL',['../unionI2S__CLKCTRL__Type.html#a6626d69f2946b570a1bd9a4f1f0a323a',1,'I2S_CLKCTRL_Type']]],
['fstep_3906',['FSTEP',['../unionOSCCTRL__DFLLMUL__Type.html#a3f59f93c097fdb2cde10a5e0998fb290',1,'OSCCTRL_DFLLMUL_Type']]],
['fswidth_3907',['FSWIDTH',['../unionI2S__CLKCTRL__Type.html#a96299dcf9cdc5a33532e5b563efa7fee',1,'I2S_CLKCTRL_Type']]],
['ft_3908',['FT',['../structGmac.html#a1d2dea59c3f709f328a481d5fa7c9fb8',1,'Gmac']]],
['ftx_3909',['FTX',['../unionGMAC__FT__Type.html#a5e5d29ea540872812e91999362f2e7f4',1,'GMAC_FT_Type']]],
['fuses_5fbod33_5faction_5fpos_3910',['FUSES_BOD33_ACTION_Pos',['../component_2nvmctrl_8h.html#aac742323e1fa3072afa8ce20817c58f3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fdis_5fpos_3911',['FUSES_BOD33_DIS_Pos',['../component_2nvmctrl_8h.html#a72a0920038e8fd5892ae9e4d91ae0ac3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fhyst_5fpos_3912',['FUSES_BOD33_HYST_Pos',['../component_2nvmctrl_8h.html#a5ab9b1d814cf783918e8b12a908295ef',1,'nvmctrl.h']]],
['fuses_5fbod33userlevel_5fpos_3913',['FUSES_BOD33USERLEVEL_Pos',['../component_2nvmctrl_8h.html#a9e8109cd0996312419933645a2f2a089',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fctat_5fpos_3914',['FUSES_HOT_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#abb81d457f6232e78ff61d0e80f925706',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fptat_5fpos_3915',['FUSES_HOT_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#a1101fa251c80578d13dd58fb49ba1a47',1,'nvmctrl.h']]],
['fuses_5fhot_5fint1v_5fval_5fpos_3916',['FUSES_HOT_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#a8b14f5897dd4c958cde93e08ee14ae2a',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fdec_5fpos_3917',['FUSES_HOT_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#afc1b6bd9fd9cdb5f0a1e89d14bb6f100',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fint_5fpos_3918',['FUSES_HOT_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a3c7e8d3e46d03e0b6424b34762295643',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fctat_5fpos_3919',['FUSES_ROOM_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#a27ddb7402e2867d17c8892a802136fd7',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fptat_5fpos_3920',['FUSES_ROOM_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#aefb2f458ba54047a124fdbe10212791e',1,'nvmctrl.h']]],
['fuses_5froom_5fint1v_5fval_5fpos_3921',['FUSES_ROOM_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#aac3dee19f6ada7e3e76edabd527b521d',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fdec_5fpos_3922',['FUSES_ROOM_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#aac2a02c5ab8d7fd6e6be94f6657558a6',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fint_5fpos_3923',['FUSES_ROOM_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a614f00b6390a26716abbcbbd6e7d7b7d',1,'nvmctrl.h']]],
['frequency_20meter_3924',['Frequency Meter',['../group__SAME54__FREQM.html',1,'']]]
['f0ai_3790',['F0AI',['../unionCAN__RXF0A__Type.html#a5d9cbf79f7ce354d35fffe632e68090b',1,'CAN_RXF0A_Type']]],
['f0ds_3791',['F0DS',['../unionCAN__RXESC__Type.html#a1e3573d85305c9ca031729767215e17b',1,'CAN_RXESC_Type']]],
['f0f_3792',['F0F',['../unionCAN__RXF0S__Type.html#a4c03a8f8ea99effd4573baa609279b66',1,'CAN_RXF0S_Type']]],
['f0fl_3793',['F0FL',['../unionCAN__RXF0S__Type.html#a65ba507c60a3ecf0671dcab39fc00159',1,'CAN_RXF0S_Type']]],
['f0gi_3794',['F0GI',['../unionCAN__RXF0S__Type.html#aad52375c147f1db9004308b71fbb9e3d',1,'CAN_RXF0S_Type']]],
['f0om_3795',['F0OM',['../unionCAN__RXF0C__Type.html#a426ac45ff8535b559256d41ed5cc3ebc',1,'CAN_RXF0C_Type']]],
['f0pi_3796',['F0PI',['../unionCAN__RXF0S__Type.html#a92580bece11dc7e83d610414538a7460',1,'CAN_RXF0S_Type']]],
['f0s_3797',['F0S',['../unionCAN__RXF0C__Type.html#acf8fb02db3370b1bcebdab6b6773f1fa',1,'CAN_RXF0C_Type']]],
['f0sa_3798',['F0SA',['../unionCAN__RXF0C__Type.html#a1f1655069e168b83d9bcf3c6a2c41715',1,'CAN_RXF0C_Type']]],
['f0wm_3799',['F0WM',['../unionCAN__RXF0C__Type.html#af3dca286c1d39afa5abafdba75bfa3f2',1,'CAN_RXF0C_Type']]],
['f1ai_3800',['F1AI',['../unionCAN__RXF1A__Type.html#a53dd186166604fed7310eb4939ccf0aa',1,'CAN_RXF1A_Type']]],
['f1ds_3801',['F1DS',['../unionCAN__RXESC__Type.html#ab006612464e20e0ad3c8acc04605801b',1,'CAN_RXESC_Type']]],
['f1f_3802',['F1F',['../unionCAN__RXF1S__Type.html#a7aecb70d82b033cd60a22b6545357e5e',1,'CAN_RXF1S_Type']]],
['f1fl_3803',['F1FL',['../unionCAN__RXF1S__Type.html#a218899e8f6d558b2617e56e3d0956ebd',1,'CAN_RXF1S_Type']]],
['f1gi_3804',['F1GI',['../unionCAN__RXF1S__Type.html#ab164bb56acc6e47005a7db6f52e08385',1,'CAN_RXF1S_Type']]],
['f1om_3805',['F1OM',['../unionCAN__RXF1C__Type.html#a887f477a85cc55a2eed23ae7667b0a9f',1,'CAN_RXF1C_Type']]],
['f1pi_3806',['F1PI',['../unionCAN__RXF1S__Type.html#a8d24e296b30b519290b7ca1068d0afdb',1,'CAN_RXF1S_Type']]],
['f1s_3807',['F1S',['../unionCAN__RXF1C__Type.html#acc370cd233a0978f5255fe6751086e9f',1,'CAN_RXF1C_Type']]],
['f1sa_3808',['F1SA',['../unionCAN__RXF1C__Type.html#a1c8043a8c6eadaaa489670a5740d1652',1,'CAN_RXF1C_Type']]],
['f1wm_3809',['F1WM',['../unionCAN__RXF1C__Type.html#aa7c531e659edf745d99d3297b5d7efd0',1,'CAN_RXF1C_Type']]],
['fail_3810',['FAIL',['../unionDSU__STATUSA__Type.html#aba8f270d5cf8d7fee472b5888bea81b8',1,'DSU_STATUSA_Type']]],
['family_3811',['FAMILY',['../unionDSU__DID__Type.html#a391b0d47c1bbd48442298eaabe71ca61',1,'DSU_DID_Type']]],
['fastwkup_3812',['FASTWKUP',['../unionPM__STDBYCFG__Type.html#aa94f621ae28b5ea3258c168f810eeb3b',1,'PM_STDBYCFG_Type']]],
['fault0_3813',['FAULT0',['../unionTCC__INTENCLR__Type.html#a5e68e07421de78e592d209263dd3a951',1,'TCC_INTENCLR_Type::FAULT0()'],['../unionTCC__INTENSET__Type.html#ab1c77b6330388cf8c45c1e9c23aa6de7',1,'TCC_INTENSET_Type::FAULT0()'],['../unionTCC__INTFLAG__Type.html#aec7ed559ce6e33374c53927f9ea42c4d',1,'TCC_INTFLAG_Type::FAULT0()'],['../unionTCC__STATUS__Type.html#a2ee49fc06e92040181c623faad8b3ac8',1,'TCC_STATUS_Type::FAULT0()']]],
['fault0in_3814',['FAULT0IN',['../unionTCC__STATUS__Type.html#a1ad2c7c4ad499edfe83adefc544eb0d7',1,'TCC_STATUS_Type']]],
['fault1_3815',['FAULT1',['../unionTCC__INTENCLR__Type.html#ad6c33af61cc2e852e37ac5eeaeedf84f',1,'TCC_INTENCLR_Type::FAULT1()'],['../unionTCC__INTENSET__Type.html#aa9894906a772800c45d6f97f9652aabd',1,'TCC_INTENSET_Type::FAULT1()'],['../unionTCC__INTFLAG__Type.html#a6600c3cbdd5ec97cf3fde10d5a6b6297',1,'TCC_INTFLAG_Type::FAULT1()'],['../unionTCC__STATUS__Type.html#a1d4f1ab360724111bee1afa2447589eb',1,'TCC_STATUS_Type::FAULT1()']]],
['fault1in_3816',['FAULT1IN',['../unionTCC__STATUS__Type.html#a46e013e0e1d95e96ab601121c6acd080',1,'TCC_STATUS_Type']]],
['faulta_3817',['FAULTA',['../unionTCC__INTENCLR__Type.html#a4c7c47dfdd5a3c509ff874555d77a2e1',1,'TCC_INTENCLR_Type::FAULTA()'],['../unionTCC__INTENSET__Type.html#afe6343da354e560161490c0a91e321c9',1,'TCC_INTENSET_Type::FAULTA()'],['../unionTCC__INTFLAG__Type.html#a6146e3929567b1431808ae23d1c74658',1,'TCC_INTFLAG_Type::FAULTA()'],['../unionTCC__STATUS__Type.html#a81d37c6afaf66dfb5643d8f3cab39225',1,'TCC_STATUS_Type::FAULTA()']]],
['faultain_3818',['FAULTAIN',['../unionTCC__STATUS__Type.html#a1a930eab9a46bc36d01a199dfb5c692f',1,'TCC_STATUS_Type']]],
['faultb_3819',['FAULTB',['../unionTCC__INTENCLR__Type.html#aa09b86bf223390a74a860b50ddde30de',1,'TCC_INTENCLR_Type::FAULTB()'],['../unionTCC__INTENSET__Type.html#a00f88191f08d729605e5a51523548fc2',1,'TCC_INTENSET_Type::FAULTB()'],['../unionTCC__INTFLAG__Type.html#a30afbb8e3f3d323a0519fae61d72d0e3',1,'TCC_INTFLAG_Type::FAULTB()'],['../unionTCC__STATUS__Type.html#a808f994f9503813627800bcff725e3d6',1,'TCC_STATUS_Type::FAULTB()']]],
['faultbin_3820',['FAULTBIN',['../unionTCC__STATUS__Type.html#ae960752282ef40bd716579c4757a03b4',1,'TCC_STATUS_Type']]],
['fbldo_3821',['FBLDO',['../unionGMAC__DCFGR__Type.html#a5a7b74a241d13bcfdf21c2737d145ad5',1,'GMAC_DCFGR_Type']]],
['fcd_3822',['FCD',['../unionSDHC__MC1R__Type.html#a573365fd428be543a8872db103e27836',1,'SDHC_MC1R_Type']]],
['fckr_3823',['FCKR',['../unionGMAC__FCSE__Type.html#afca3e08a560084b60a0ae60a3eb8a13c',1,'GMAC_FCSE_Type']]],
['fcse_3824',['FCSE',['../structGmac.html#a29d276779e1bb18e91f5cc6efb5b6b8b',1,'Gmac']]],
['fctrla_3825',['FCTRLA',['../structTcc.html#a5abb734e3b76cfbe2e535ac65f5a205e',1,'Tcc']]],
['fctrlb_3826',['FCTRLB',['../structTcc.html#aff0b84e22604af0a6b12b824ab6c1ee3',1,'Tcc']]],
['fd_3827',['FD',['../unionGMAC__NCFGR__Type.html#a0973e9b8e95896d7b75db3f73622e5f6',1,'GMAC_NCFGR_Type']]],
['fddbd_3828',['FDDBD',['../unionTCC__DBGCTRL__Type.html#a236a05363ccbb571cd09e61d3590b665',1,'TCC_DBGCTRL_Type']]],
['fdf_3829',['FDF',['../unionCAN__RXBE__1__Type.html#a998281826a9c73359a93918fc49b31a6',1,'CAN_RXBE_1_Type::FDF()'],['../unionCAN__RXF0E__1__Type.html#a6d52425e5425e87b3e265fdb089e1e62',1,'CAN_RXF0E_1_Type::FDF()'],['../unionCAN__RXF1E__1__Type.html#a1e845cb9be5467e0469ea0da04babbc1',1,'CAN_RXF1E_1_Type::FDF()'],['../unionCAN__TXBE__1__Type.html#aa11255d0d120b19091e8e8fb83b17aa7',1,'CAN_TXBE_1_Type::FDF()'],['../unionCAN__TXEFE__1__Type.html#a375fc4930439417b2b57dcdd6c554875',1,'CAN_TXEFE_1_Type::FDF()']]],
['fdoe_3830',['FDOE',['../unionCAN__CCCR__Type.html#a3c9cc36196608eedf7c2ce1e9e094b9e',1,'CAN_CCCR_Type']]],
['feraces_3831',['FERACES',['../structSdhc.html#a2c038b5c61b50be78a233d9f32390010',1,'Sdhc']]],
['fereis_3832',['FEREIS',['../structSdhc.html#a44bc2b90bd693ec2aebd11501f2059e8',1,'Sdhc']]],
['ferr_3833',['FERR',['../unionDMAC__INTPEND__Type.html#a3a93580c2d1309d94b7cc61ef6324a09',1,'DMAC_INTPEND_Type::FERR()'],['../unionDMAC__CHSTATUS__Type.html#a819a9c2e2e356a9a24663d84b391bf87',1,'DMAC_CHSTATUS_Type::FERR()'],['../unionSERCOM__USART__STATUS__Type.html#a39f0ae8b64ef410fa70644f515fb41bb',1,'SERCOM_USART_STATUS_Type::FERR()']]],
['fext_3834',['FEXT',['../unionDAC__DACCTRL__Type.html#a09f51b06c0b7ad014bc0e710237bcb6e',1,'DAC_DACCTRL_Type']]],
['fidx_3835',['FIDX',['../unionCAN__HPMS__Type.html#abe5080d89892602ce353be645162160b',1,'CAN_HPMS_Type::FIDX()'],['../unionCAN__RXBE__1__Type.html#a84cc698f177275dc97c99d161c0a6e21',1,'CAN_RXBE_1_Type::FIDX()'],['../unionCAN__RXF0E__1__Type.html#a67be61a69047de5d3ff01174de311f65',1,'CAN_RXF0E_1_Type::FIDX()'],['../unionCAN__RXF1E__1__Type.html#a9f33dbc3b181ef99b11b2d9586c4888f',1,'CAN_RXF1E_1_Type::FIDX()']]],
['filten0_3836',['FILTEN0',['../unionEIC__CONFIG__Type.html#a2b015cff268d4e52be4e188543c5d770',1,'EIC_CONFIG_Type']]],
['filten1_3837',['FILTEN1',['../unionEIC__CONFIG__Type.html#afa6acfc8ed18f9ef3672752e5046af16',1,'EIC_CONFIG_Type']]],
['filten2_3838',['FILTEN2',['../unionEIC__CONFIG__Type.html#ad5d18c5a022deec20e80760fb13482ca',1,'EIC_CONFIG_Type']]],
['filten3_3839',['FILTEN3',['../unionEIC__CONFIG__Type.html#a1347bd86400d8ef70b06dfdb0a6436c8',1,'EIC_CONFIG_Type']]],
['filten4_3840',['FILTEN4',['../unionEIC__CONFIG__Type.html#a159a1e94e7994980bd2b663bfc8e4030',1,'EIC_CONFIG_Type']]],
['filten5_3841',['FILTEN5',['../unionEIC__CONFIG__Type.html#a6d3559efac112cf59cc5695483753485',1,'EIC_CONFIG_Type']]],
['filten6_3842',['FILTEN6',['../unionEIC__CONFIG__Type.html#acd78ffeb414c4774665845290f19aef1',1,'EIC_CONFIG_Type']]],
['filten7_3843',['FILTEN7',['../unionEIC__CONFIG__Type.html#a7612b772fb96ced4f69c842b1d0591ce',1,'EIC_CONFIG_Type']]],
['filter_3844',['FILTER',['../unionOSCCTRL__DPLLCTRLB__Type.html#a2e84f542968a325e76df1151d27f8136',1,'OSCCTRL_DPLLCTRLB_Type::FILTER()'],['../unionPDEC__SYNCBUSY__Type.html#a467a228fc6298bd26123ba23b7909af6',1,'PDEC_SYNCBUSY_Type::FILTER()'],['../unionPDEC__FILTER__Type.html#a6c33edf5901d807efdb6454dc136f7ab',1,'PDEC_FILTER_Type::FILTER()'],['../structPdec.html#a3a3b3c5aec98674ae833b90964dd1877',1,'Pdec::FILTER()']]],
['filterbuf_3845',['FILTERBUF',['../unionPDEC__FILTERBUF__Type.html#a4752a2c1fb0133c1fe0347ff7dcffbfc',1,'PDEC_FILTERBUF_Type::FILTERBUF()'],['../structPdec.html#ac2e29f66b8c9c739ac70cddcbc296c1f',1,'Pdec::FILTERBUF()']]],
['filterbufv_3846',['FILTERBUFV',['../unionPDEC__STATUS__Type.html#a086f2987cb0e00df7f99b225b266fd8d',1,'PDEC_STATUS_Type']]],
['filterval_3847',['FILTERVAL',['../unionTCC__FCTRLA__Type.html#a60c863921b65781ab9382fecdc82457b',1,'TCC_FCTRLA_Type::FILTERVAL()'],['../unionTCC__FCTRLB__Type.html#ad7264cd2cd24a5809d834ff77e0e9c59',1,'TCC_FCTRLB_Type::FILTERVAL()']]],
['filterval0_3848',['FILTERVAL0',['../unionTCC__DRVCTRL__Type.html#a76c04940ef7235e890e57cd6b956953c',1,'TCC_DRVCTRL_Type']]],
['filterval1_3849',['FILTERVAL1',['../unionTCC__DRVCTRL__Type.html#af45629ce31a538d5fbacd0be87490a7e',1,'TCC_DRVCTRL_Type']]],
['filtsel_3850',['FILTSEL',['../unionCCL__LUTCTRL__Type.html#a238de2df04aaf2a103000ab658fc5067',1,'CCL_LUTCTRL_Type']]],
['fine_3851',['FINE',['../unionOSCCTRL__DFLLVAL__Type.html#a4a3e33bbc911591455e56f8cbc5573ea',1,'OSCCTRL_DFLLVAL_Type']]],
['fkbc_3852',['FKBC',['../unionDSU__PID4__Type.html#a6fdd5fe268e92ffe333394c0eb34431a',1,'DSU_PID4_Type']]],
['fl_3853',['FL',['../unionGMAC__IPGS__Type.html#a59446cefc4c974110904e8105b998a21',1,'GMAC_IPGS_Type']]],
['flash_5f_3854',['FLASH_',['../unionPAC__INTFLAGAHB__Type.html#a1493abd9914771840e728a4dab68a1ea',1,'PAC_INTFLAGAHB_Type']]],
['flash_5faddr_3855',['FLASH_ADDR',['../same54n19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p20a.h']]],
['flash_5falt_5f_3856',['FLASH_ALT_',['../unionPAC__INTFLAGAHB__Type.html#a7d2a708765a14bb35460c63de3b75590',1,'PAC_INTFLAGAHB_Type']]],
['flen_3857',['FLEN',['../unionAC__COMPCTRL__Type.html#ad0a2a07795535199a9823b0b8acf9e6b',1,'AC_COMPCTRL_Type']]],
['flenc_3858',['FLENC',['../unionUSB__HOST__HSOFC__Type.html#a5bbf57b401100c8848d48603fadb113b',1,'USB_HOST_HSOFC_Type']]],
['flence_3859',['FLENCE',['../unionUSB__HOST__HSOFC__Type.html#ac2e5d473d59628b1c821f434b414be37',1,'USB_HOST_HSOFC_Type']]],
['flenhigh_3860',['FLENHIGH',['../unionUSB__HOST__FLENHIGH__Type.html#ab671c7e2bc912ebf4303016b15ba2002',1,'USB_HOST_FLENHIGH_Type::FLENHIGH()'],['../structUsbHost.html#a4e34810c36837b751bf20228d944e0c0',1,'UsbHost::FLENHIGH()']]],
['flesa_3861',['FLESA',['../unionCAN__XIDFC__Type.html#ac28b1f145dba49d237278fb59721c45f',1,'CAN_XIDFC_Type']]],
['flssa_3862',['FLSSA',['../unionCAN__SIDFC__Type.html#a9585c63e44ab2dbe5c3dfb35d43e4704',1,'CAN_SIDFC_Type']]],
['flst_3863',['FLST',['../unionCAN__HPMS__Type.html#a5d200d174cb5d80d39862a9d4e9d0b6d',1,'CAN_HPMS_Type']]],
['flush_3864',['FLUSH',['../unionADC__SWTRIG__Type.html#afb17d75ef059bf95a70a70d89113f64d',1,'ADC_SWTRIG_Type']]],
['flushei_3865',['FLUSHEI',['../unionADC__EVCTRL__Type.html#a850525624374a035c5a3483c8bde43c1',1,'ADC_EVCTRL_Type']]],
['flushinv_3866',['FLUSHINV',['../unionADC__EVCTRL__Type.html#a2e3b8cb7011192b715d0baa305bf0555',1,'ADC_EVCTRL_Type']]],
['fml_3867',['FML',['../unionGMAC__RJFML__Type.html#a852f5b4e7278c0d672b8ae28a4c82e07',1,'GMAC_RJFML_Type']]],
['fmt_3868',['FMT',['../unionDSU__ENTRY0__Type.html#aa3926f1f9527552a50337fd2b24716ff',1,'DSU_ENTRY0_Type']]],
['fncerr_3869',['FNCERR',['../unionUSB__DEVICE__FNUM__Type.html#aaba2ed5b553c15548ba913fee7b60c99',1,'USB_DEVICE_FNUM_Type']]],
['fnp_3870',['FNP',['../unionGMAC__NCR__Type.html#aeb3db755f8deae191753a425ea930bd3',1,'GMAC_NCR_Type']]],
['fnum_3871',['FNUM',['../unionUSB__DEVICE__FNUM__Type.html#ab0909f60bde0311015f499ef27b12fe1',1,'USB_DEVICE_FNUM_Type::FNUM()'],['../unionUSB__HOST__FNUM__Type.html#a27a3e647291e7705c11a06412982a565',1,'USB_HOST_FNUM_Type::FNUM()'],['../structUsbDevice.html#ae043d68c4a08472d518a3ba9c437d713',1,'UsbDevice::FNUM()'],['../structUsbHost.html#a51847db46b50a06f78b11cbc350e7fb9',1,'UsbHost::FNUM()']]],
['form_3872',['FORM',['../unionSERCOM__SPI__CTRLA__Type.html#aeded1c31d8e9f76a4c7087a437dde5ba',1,'SERCOM_SPI_CTRLA_Type::FORM()'],['../unionSERCOM__USART__CTRLA__Type.html#a2c9689dd3d4a53b17222f27d9557391c',1,'SERCOM_USART_CTRLA_Type::FORM()']]],
['fp_3873',['FP',['../unionSERCOM__USART__BAUD__Type.html#aa11632481554fd45a69881df6184d8b1',1,'SERCOM_USART_BAUD_Type']]],
['fr_3874',['FR',['../structGmac.html#a9cdc5e0aef0aac216429bd60de6e6af3',1,'Gmac']]],
['frac_3875',['FRAC',['../unionSERCOM__USART__BAUD__Type.html#a67b6b6614082614d11a2c9b48e6e3b88',1,'SERCOM_USART_BAUD_Type']]],
['fracfp_3876',['FRACFP',['../unionSERCOM__USART__BAUD__Type.html#aa3ed093321ee190c447122bdde14f276',1,'SERCOM_USART_BAUD_Type']]],
['freerun_3877',['FREERUN',['../unionADC__CTRLB__Type.html#a44b8503d6efe5e11f487f946e984e2d0',1,'ADC_CTRLB_Type']]],
['freqcorr_3878',['FREQCORR',['../unionRTC__MODE0__SYNCBUSY__Type.html#addd5348ec4373bc161aa6dfbeea60093',1,'RTC_MODE0_SYNCBUSY_Type::FREQCORR()'],['../unionRTC__MODE1__SYNCBUSY__Type.html#a7ab7e90192287a6a2566541e1040cc01',1,'RTC_MODE1_SYNCBUSY_Type::FREQCORR()'],['../unionRTC__MODE2__SYNCBUSY__Type.html#ae7a26e887a9cdec3ae58f2dc2ed71563',1,'RTC_MODE2_SYNCBUSY_Type::FREQCORR()'],['../structRtcMode0.html#a27061dd9313f1cc792464185c137975b',1,'RtcMode0::FREQCORR()'],['../structRtcMode1.html#a8e51e15f0ef511e6ab5410e1a58cf3ce',1,'RtcMode1::FREQCORR()'],['../structRtcMode2.html#a8fa2fd1600ed1ee29e2d929a6f354089',1,'RtcMode2::FREQCORR()']]],
['freqm_3879',['Freqm',['../structFreqm.html',1,'Freqm'],['../same54n19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p20a.h']]],
['freqm_2eh_3880',['freqm.h',['../component_2freqm_8h.html',1,'(Global Namespace)'],['../instance_2freqm_8h.html',1,'(Global Namespace)']]],
['freqm_5f_3881',['FREQM_',['../unionMCLK__APBAMASK__Type.html#afc2fdf74f23d7546f7c7001e8ef02e0e',1,'MCLK_APBAMASK_Type::FREQM_()'],['../unionPAC__INTFLAGA__Type.html#a5dd12b70fc137215000d2bf3875da549',1,'PAC_INTFLAGA_Type::FREQM_()'],['../unionPAC__STATUSA__Type.html#a2fcc6b477e51fefc9f457effc0c61c04',1,'PAC_STATUSA_Type::FREQM_()']]],
['freqm_5fcfga_5fmask_3882',['FREQM_CFGA_MASK',['../component_2freqm_8h.html#a33de8b24f88bedb7bbd286af13e23a87',1,'freqm.h']]],
['freqm_5fcfga_5foffset_3883',['FREQM_CFGA_OFFSET',['../component_2freqm_8h.html#a5835c5f151d4b6bd35bd6fc7061ea6a4',1,'freqm.h']]],
['freqm_5fcfga_5frefnum_5fpos_3884',['FREQM_CFGA_REFNUM_Pos',['../component_2freqm_8h.html#ac3930b6975b7736bb6a5ee670a28a6e4',1,'freqm.h']]],
['freqm_5fcfga_5fresetvalue_3885',['FREQM_CFGA_RESETVALUE',['../component_2freqm_8h.html#afcf71438184c4b9afc75af14277496a0',1,'freqm.h']]],
['freqm_5fcfga_5ftype_3886',['FREQM_CFGA_Type',['../unionFREQM__CFGA__Type.html',1,'']]],
['freqm_5fctrla_5fenable_5fpos_3887',['FREQM_CTRLA_ENABLE_Pos',['../component_2freqm_8h.html#ab3660ed3c6f680738687786dc974b0fc',1,'freqm.h']]],
['freqm_5fctrla_5fmask_3888',['FREQM_CTRLA_MASK',['../component_2freqm_8h.html#a451d4d6e44dbf4f144d3e834468e4be2',1,'freqm.h']]],
['freqm_5fctrla_5foffset_3889',['FREQM_CTRLA_OFFSET',['../component_2freqm_8h.html#a623254aadaef4942d2fe0e4f72deb9b3',1,'freqm.h']]],
['freqm_5fctrla_5fresetvalue_3890',['FREQM_CTRLA_RESETVALUE',['../component_2freqm_8h.html#a8656c15db87048e0eeadc09522615e12',1,'freqm.h']]],
['freqm_5fctrla_5fswrst_5fpos_3891',['FREQM_CTRLA_SWRST_Pos',['../component_2freqm_8h.html#a4487b5f3d94c3c8cfbb649230f5fd7e4',1,'freqm.h']]],
['freqm_5fctrla_5ftype_3892',['FREQM_CTRLA_Type',['../unionFREQM__CTRLA__Type.html',1,'']]],
['freqm_5fctrlb_5fmask_3893',['FREQM_CTRLB_MASK',['../component_2freqm_8h.html#abab11724db32ac2d0bcf9d9a9003e545',1,'freqm.h']]],
['freqm_5fctrlb_5foffset_3894',['FREQM_CTRLB_OFFSET',['../component_2freqm_8h.html#a71beaeca8787b49fac6e47b3f98ed178',1,'freqm.h']]],
['freqm_5fctrlb_5fresetvalue_3895',['FREQM_CTRLB_RESETVALUE',['../component_2freqm_8h.html#a437850109ccd64c5e708704920993da7',1,'freqm.h']]],
['freqm_5fctrlb_5fstart_5fpos_3896',['FREQM_CTRLB_START_Pos',['../component_2freqm_8h.html#ac575d2e8b327956f7a9271a14dff4745',1,'freqm.h']]],
['freqm_5fctrlb_5ftype_3897',['FREQM_CTRLB_Type',['../unionFREQM__CTRLB__Type.html',1,'']]],
['freqm_5finst_5fnum_3898',['FREQM_INST_NUM',['../same54n19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p20a.h']]],
['freqm_5finsts_3899',['FREQM_INSTS',['../same54n19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p20a.h']]],
['freqm_5fintenclr_5fdone_5fpos_3900',['FREQM_INTENCLR_DONE_Pos',['../component_2freqm_8h.html#a3d742bee7a8d19b4734a4a29d30622f7',1,'freqm.h']]],
['freqm_5fintenclr_5fmask_3901',['FREQM_INTENCLR_MASK',['../component_2freqm_8h.html#a1956ca13e973b88eea66618c51ef48b3',1,'freqm.h']]],
['freqm_5fintenclr_5foffset_3902',['FREQM_INTENCLR_OFFSET',['../component_2freqm_8h.html#a31da73dd35a463ca4eea941ee1f55e1c',1,'freqm.h']]],
['freqm_5fintenclr_5fresetvalue_3903',['FREQM_INTENCLR_RESETVALUE',['../component_2freqm_8h.html#a4cfbcaf309592f477f8aca52d4da0b00',1,'freqm.h']]],
['freqm_5fintenclr_5ftype_3904',['FREQM_INTENCLR_Type',['../unionFREQM__INTENCLR__Type.html',1,'']]],
['freqm_5fintenset_5fdone_5fpos_3905',['FREQM_INTENSET_DONE_Pos',['../component_2freqm_8h.html#a9343bb0070741ae8863e061d501d7815',1,'freqm.h']]],
['freqm_5fintenset_5fmask_3906',['FREQM_INTENSET_MASK',['../component_2freqm_8h.html#aa7c2b1805de385754efffce342e99817',1,'freqm.h']]],
['freqm_5fintenset_5foffset_3907',['FREQM_INTENSET_OFFSET',['../component_2freqm_8h.html#a322e0b560edc5ac084d4f9a338850b55',1,'freqm.h']]],
['freqm_5fintenset_5fresetvalue_3908',['FREQM_INTENSET_RESETVALUE',['../component_2freqm_8h.html#aad4904b7b0bd47ea2312c0b14a12ca48',1,'freqm.h']]],
['freqm_5fintenset_5ftype_3909',['FREQM_INTENSET_Type',['../unionFREQM__INTENSET__Type.html',1,'']]],
['freqm_5fintflag_5fdone_5fpos_3910',['FREQM_INTFLAG_DONE_Pos',['../component_2freqm_8h.html#a297418104703e236fdfb9ec6f8d82f6e',1,'freqm.h']]],
['freqm_5fintflag_5fmask_3911',['FREQM_INTFLAG_MASK',['../component_2freqm_8h.html#a1975f795a95041fb4f0ab01e25cceeea',1,'freqm.h']]],
['freqm_5fintflag_5foffset_3912',['FREQM_INTFLAG_OFFSET',['../component_2freqm_8h.html#aea43e28481d2a027a76f4474a6ed8532',1,'freqm.h']]],
['freqm_5fintflag_5fresetvalue_3913',['FREQM_INTFLAG_RESETVALUE',['../component_2freqm_8h.html#a302d92b17313ed5b9b94446827b559b6',1,'freqm.h']]],
['freqm_5fintflag_5ftype_3914',['FREQM_INTFLAG_Type',['../unionFREQM__INTFLAG__Type.html',1,'']]],
['freqm_5firqn_3915',['FREQM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p20a.h']]],
['freqm_5fstatus_5fbusy_5fpos_3916',['FREQM_STATUS_BUSY_Pos',['../component_2freqm_8h.html#a39ad257ccdcb50dae4632c592e8a0faa',1,'freqm.h']]],
['freqm_5fstatus_5fmask_3917',['FREQM_STATUS_MASK',['../component_2freqm_8h.html#a38c7441e04c013ceb30abc6a68828221',1,'freqm.h']]],
['freqm_5fstatus_5foffset_3918',['FREQM_STATUS_OFFSET',['../component_2freqm_8h.html#a9bc1627588f595c84efac70a1897b435',1,'freqm.h']]],
['freqm_5fstatus_5fovf_5fpos_3919',['FREQM_STATUS_OVF_Pos',['../component_2freqm_8h.html#abf3e33ca9df370bbf1df2fdad0206755',1,'freqm.h']]],
['freqm_5fstatus_5fresetvalue_3920',['FREQM_STATUS_RESETVALUE',['../component_2freqm_8h.html#a2246bd9800c0c53833e7d0f205ad80bd',1,'freqm.h']]],
['freqm_5fstatus_5ftype_3921',['FREQM_STATUS_Type',['../unionFREQM__STATUS__Type.html',1,'']]],
['freqm_5fsyncbusy_5fenable_5fpos_3922',['FREQM_SYNCBUSY_ENABLE_Pos',['../component_2freqm_8h.html#a470279b12e764e5d1bf66fae613e87bb',1,'freqm.h']]],
['freqm_5fsyncbusy_5fmask_3923',['FREQM_SYNCBUSY_MASK',['../component_2freqm_8h.html#a82a1747c20d325fa4cd125e7130e99cd',1,'freqm.h']]],
['freqm_5fsyncbusy_5foffset_3924',['FREQM_SYNCBUSY_OFFSET',['../component_2freqm_8h.html#a5cb7477e6e83f8b6a50d38efc516030b',1,'freqm.h']]],
['freqm_5fsyncbusy_5fresetvalue_3925',['FREQM_SYNCBUSY_RESETVALUE',['../component_2freqm_8h.html#af1bb6a6e94796c96bb6e082d30786b2d',1,'freqm.h']]],
['freqm_5fsyncbusy_5fswrst_5fpos_3926',['FREQM_SYNCBUSY_SWRST_Pos',['../component_2freqm_8h.html#afa5d4860d253f6e57ec3854826e506de',1,'freqm.h']]],
['freqm_5fsyncbusy_5ftype_3927',['FREQM_SYNCBUSY_Type',['../unionFREQM__SYNCBUSY__Type.html',1,'']]],
['freqm_5fvalue_5fmask_3928',['FREQM_VALUE_MASK',['../component_2freqm_8h.html#a129fbc326338dee08cf86f78259703de',1,'freqm.h']]],
['freqm_5fvalue_5foffset_3929',['FREQM_VALUE_OFFSET',['../component_2freqm_8h.html#a667b866874d1a6766ecff28635ba6616',1,'freqm.h']]],
['freqm_5fvalue_5fresetvalue_3930',['FREQM_VALUE_RESETVALUE',['../component_2freqm_8h.html#a7e701385f3015d5c8ed4e54b2641f33d',1,'freqm.h']]],
['freqm_5fvalue_5ftype_3931',['FREQM_VALUE_Type',['../unionFREQM__VALUE__Type.html',1,'']]],
['freqm_5fvalue_5fvalue_5fpos_3932',['FREQM_VALUE_VALUE_Pos',['../component_2freqm_8h.html#ad6aa2e8dfd43141479ecae0eb156d610',1,'freqm.h']]],
['frsts_3933',['FRSTS',['../unionPCC__MR__Type.html#adcb7742f8decf8f9f701a5ceb96b1846',1,'PCC_MR_Type']]],
['frx_3934',['FRX',['../unionGMAC__FR__Type.html#a642ef6b3b1c3392d996e140bb4ac4813',1,'GMAC_FR_Type']]],
['fsdclkd_3935',['FSDCLKD',['../unionSDHC__CC2R__Type.html#a51b291f5e5470d5d6801fdacbc0ced28',1,'SDHC_CC2R_Type']]],
['fsinv_3936',['FSINV',['../unionI2S__CLKCTRL__Type.html#ad41b7dc3a089283f15238eed06bc09df',1,'I2S_CLKCTRL_Type']]],
['fsmstate_3937',['FSMSTATE',['../unionUSB__FSMSTATUS__Type.html#af6fc942605177caed5b2788bd1addd2e',1,'USB_FSMSTATUS_Type']]],
['fsmstatus_3938',['FSMSTATUS',['../structUsbDevice.html#a1e3bf9c3d3449bbdc081c63b2920e20d',1,'UsbDevice::FSMSTATUS()'],['../structUsbHost.html#af3d788719b3b4e098ed2aa851d4067a6',1,'UsbHost::FSMSTATUS()']]],
['fsoutinv_3939',['FSOUTINV',['../unionI2S__CLKCTRL__Type.html#af8c30cbb790c3c8b4ffcb7d089083ca6',1,'I2S_CLKCTRL_Type']]],
['fssel_3940',['FSSEL',['../unionI2S__CLKCTRL__Type.html#a6626d69f2946b570a1bd9a4f1f0a323a',1,'I2S_CLKCTRL_Type']]],
['fstep_3941',['FSTEP',['../unionOSCCTRL__DFLLMUL__Type.html#a3f59f93c097fdb2cde10a5e0998fb290',1,'OSCCTRL_DFLLMUL_Type']]],
['fswidth_3942',['FSWIDTH',['../unionI2S__CLKCTRL__Type.html#a96299dcf9cdc5a33532e5b563efa7fee',1,'I2S_CLKCTRL_Type']]],
['ft_3943',['FT',['../structGmac.html#a1d2dea59c3f709f328a481d5fa7c9fb8',1,'Gmac']]],
['ftx_3944',['FTX',['../unionGMAC__FT__Type.html#a5e5d29ea540872812e91999362f2e7f4',1,'GMAC_FT_Type']]],
['fuses_5fbod33_5faction_5fpos_3945',['FUSES_BOD33_ACTION_Pos',['../component_2nvmctrl_8h.html#aac742323e1fa3072afa8ce20817c58f3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fdis_5fpos_3946',['FUSES_BOD33_DIS_Pos',['../component_2nvmctrl_8h.html#a72a0920038e8fd5892ae9e4d91ae0ac3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fhyst_5fpos_3947',['FUSES_BOD33_HYST_Pos',['../component_2nvmctrl_8h.html#a5ab9b1d814cf783918e8b12a908295ef',1,'nvmctrl.h']]],
['fuses_5fbod33userlevel_5fpos_3948',['FUSES_BOD33USERLEVEL_Pos',['../component_2nvmctrl_8h.html#a9e8109cd0996312419933645a2f2a089',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fctat_5fpos_3949',['FUSES_HOT_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#abb81d457f6232e78ff61d0e80f925706',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fptat_5fpos_3950',['FUSES_HOT_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#a1101fa251c80578d13dd58fb49ba1a47',1,'nvmctrl.h']]],
['fuses_5fhot_5fint1v_5fval_5fpos_3951',['FUSES_HOT_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#a8b14f5897dd4c958cde93e08ee14ae2a',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fdec_5fpos_3952',['FUSES_HOT_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#afc1b6bd9fd9cdb5f0a1e89d14bb6f100',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fint_5fpos_3953',['FUSES_HOT_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a3c7e8d3e46d03e0b6424b34762295643',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fctat_5fpos_3954',['FUSES_ROOM_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#a27ddb7402e2867d17c8892a802136fd7',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fptat_5fpos_3955',['FUSES_ROOM_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#aefb2f458ba54047a124fdbe10212791e',1,'nvmctrl.h']]],
['fuses_5froom_5fint1v_5fval_5fpos_3956',['FUSES_ROOM_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#aac3dee19f6ada7e3e76edabd527b521d',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fdec_5fpos_3957',['FUSES_ROOM_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#aac2a02c5ab8d7fd6e6be94f6657558a6',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fint_5fpos_3958',['FUSES_ROOM_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a614f00b6390a26716abbcbbd6e7d7b7d',1,'nvmctrl.h']]],
['frequency_20meter_3959',['Frequency Meter',['../group__SAME54__FREQM.html',1,'']]]
];

File diff suppressed because it is too large Load Diff

@ -1,87 +1,87 @@
var searchData=
[
['halfs_4723',['HALFS',['../unionPCC__MR__Type.html#a31a45244b60213d85e1b9b102489e989',1,'PCC_MR_Type']]],
['halt_4724',['HALT',['../unionTCC__FCTRLA__Type.html#a7643d947a35def2b3eeb5bdcdec53d4d',1,'TCC_FCTRLA_Type::HALT()'],['../unionTCC__FCTRLB__Type.html#a7eed0a0c8f87c7fb9f86983c7a68d96d',1,'TCC_FCTRLB_Type::HALT()']]],
['haprot_4725',['HAPROT',['../unionICM__CFG__Type.html#af4c899048afea95540fecd980f3d0868',1,'ICM_CFG_Type']]],
['hardfault_5firqn_4726',['HardFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p20a.h']]],
['hasa_4727',['HASA',['../unionICM__HASH__Type.html#a146dc6f4fcd9cc11aa3fc5daac4a9000',1,'ICM_HASH_Type']]],
['hash_4728',['HASH',['../structIcm.html#ade104b78652eb14c1131971755342531',1,'Icm']]],
['hashkey_4729',['HASHKEY',['../structAes.html#a373b4c4a4a050241e73d34dd654181cf',1,'Aes']]],
['hc1r_4730',['HC1R',['../structSdhc.html#a1bf67e3e42d5f4bcb463f3ca08d8f7a5',1,'Sdhc']]],
['hc2r_4731',['HC2R',['../structSdhc.html#ae127cfd080359c4ac12d577b0a37c62b',1,'Sdhc']]],
['hcker_4732',['HCKER',['../unionGMAC__IHCE__Type.html#aac6b6239e279b1bf60e6d133ffeea0f1',1,'GMAC_IHCE_Type']]],
['hcvr_4733',['HCVR',['../structSdhc.html#a939d29db6ed07054f0cbc7920ed94b06',1,'Sdhc']]],
['hdrdly_4734',['HDRDLY',['../unionSERCOM__USART__CTRLC__Type.html#a80ca8f7897d3b4a2f12bc8dd9a38868d',1,'SERCOM_USART_CTRLC_Type']]],
['herr_4735',['HERR',['../unionPDEC__STATUS__Type.html#ac91411e5f4cea4126a79290b83ea2a74',1,'PDEC_STATUS_Type']]],
['hf_4736',['HF',['../unionPICOP__HF__Type.html#acc86ec248bd44204d52988fc4b2c2f17',1,'PICOP_HF_Type::HF()'],['../structPicop.html#a9922d0410630ffb4e71a75c1f0210a1c',1,'Picop::HF()']]],
['hfclr0_4737',['HFCLR0',['../unionPICOP__HFSETCLR0__Type.html#a2e0ec1018d458e106fbd996e610ee666',1,'PICOP_HFSETCLR0_Type']]],
['hfclr1_4738',['HFCLR1',['../unionPICOP__HFSETCLR0__Type.html#a9ed01a6e49d89e600a6a460527bbafa0',1,'PICOP_HFSETCLR0_Type']]],
['hfclr2_4739',['HFCLR2',['../unionPICOP__HFSETCLR1__Type.html#a8e1d54d2bd301d865bcca76389798eea',1,'PICOP_HFSETCLR1_Type']]],
['hfclr3_4740',['HFCLR3',['../unionPICOP__HFSETCLR1__Type.html#a284d2543360ede39ee5e81c086324aa3',1,'PICOP_HFSETCLR1_Type']]],
['hfctrl_4741',['HFCTRL',['../structPicop.html#a72e0cb6cee60f79cedd6740ae73f2ec1',1,'Picop']]],
['hfset0_4742',['HFSET0',['../unionPICOP__HFSETCLR0__Type.html#aa1fdd6a4f68741e9ceef989ad39f7514',1,'PICOP_HFSETCLR0_Type']]],
['hfset1_4743',['HFSET1',['../unionPICOP__HFSETCLR0__Type.html#a4fd431bf41a0065128e1afa8d5a60181',1,'PICOP_HFSETCLR0_Type']]],
['hfset2_4744',['HFSET2',['../unionPICOP__HFSETCLR1__Type.html#a33ad41259a3ba3d3ca72fb7b6b444f92',1,'PICOP_HFSETCLR1_Type']]],
['hfset3_4745',['HFSET3',['../unionPICOP__HFSETCLR1__Type.html#a935fdd6f1ca602cfb9fb45a0179732e7',1,'PICOP_HFSETCLR1_Type']]],
['hfsetclr0_4746',['HFSETCLR0',['../structPicop.html#ad873f312530d7567b9d1a36a42f3469c',1,'Picop']]],
['hfsetclr1_4747',['HFSETCLR1',['../structPicop.html#ae4a756353acdc0379c43f10704f714c3',1,'Picop']]],
['hib_4748',['HIB',['../unionRSTC__BKUPEXIT__Type.html#ad3eb4e110007a155c20a1263ab428ee1',1,'RSTC_BKUPEXIT_Type']]],
['hibcfg_4749',['HIBCFG',['../structPm.html#a276ad02ba19ab8cb44e81e4f85fa9fd8',1,'Pm']]],
['hmatrix_4750',['HMATRIX',['../same54n19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p20a.h']]],
['hmatrix_2eh_4751',['hmatrix.h',['../hmatrix_8h.html',1,'']]],
['hmatrix_5f_4752',['HMATRIX_',['../unionMCLK__AHBMASK__Type.html#a9c6223daa319f557015aa138d58d1e7b',1,'MCLK_AHBMASK_Type::HMATRIX_()'],['../unionMCLK__APBBMASK__Type.html#a4c6952cb131c60d2497141747bdcf841',1,'MCLK_APBBMASK_Type::HMATRIX_()'],['../unionPAC__INTFLAGB__Type.html#ac6a1e0fcd718d3a0238303e84e094baa',1,'PAC_INTFLAGB_Type::HMATRIX_()'],['../unionPAC__STATUSB__Type.html#a7d5683e6a305f2892c2c5c67e942d9df',1,'PAC_STATUSB_Type::HMATRIX_()']]],
['hmatrixb_4753',['Hmatrixb',['../structHmatrixb.html',1,'']]],
['hmatrixb_2eh_4754',['hmatrixb.h',['../hmatrixb_8h.html',1,'']]],
['hmatrixb_5finst_5fnum_4755',['HMATRIXB_INST_NUM',['../same54n19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p20a.h']]],
['hmatrixb_5finsts_4756',['HMATRIXB_INSTS',['../same54n19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p20a.h']]],
['hmatrixb_5fpras_5fmask_4757',['HMATRIXB_PRAS_MASK',['../hmatrixb_8h.html#a0b91fa322894f20c4280a0b9e76c9359',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5foffset_4758',['HMATRIXB_PRAS_OFFSET',['../hmatrixb_8h.html#ada8f35a5ebe92757fe031cde38a7761c',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5fresetvalue_4759',['HMATRIXB_PRAS_RESETVALUE',['../hmatrixb_8h.html#a2bdb9b1fece4ef8d1a1b1b4e87573191',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5ftype_4760',['HMATRIXB_PRAS_Type',['../unionHMATRIXB__PRAS__Type.html',1,'']]],
['hmatrixb_5fprbs_5fmask_4761',['HMATRIXB_PRBS_MASK',['../hmatrixb_8h.html#a55be008834b446b516397e7a279be596',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5foffset_4762',['HMATRIXB_PRBS_OFFSET',['../hmatrixb_8h.html#a6885cd77fd1330b5c5d63f0fd50221be',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fresetvalue_4763',['HMATRIXB_PRBS_RESETVALUE',['../hmatrixb_8h.html#a27bcb999749a62644f8eb6ec5f2503d7',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5ftype_4764',['HMATRIXB_PRBS_Type',['../unionHMATRIXB__PRBS__Type.html',1,'']]],
['hmatrixbprs_4765',['HmatrixbPrs',['../structHmatrixbPrs.html',1,'']]],
['hno_4766',['HNO',['../unionGMAC__RSR__Type.html#a7fca3c31b61bb3744ae5bb25d050e8e9',1,'GMAC_RSR_Type']]],
['host_4767',['HOST',['../unionUsb.html#ac65633b009d0ac17e59df4f3928c3d9b',1,'Usb']]],
['hostdescbank_4768',['HostDescBank',['../structUsbHostDescriptor.html#afc9519a5c66a5a03cfecbe8321c9f297',1,'UsbHostDescriptor']]],
['hostpipe_4769',['HostPipe',['../structUsbHost.html#aaaaeec7d94e8596797490a67a80f5ce4',1,'UsbHost']]],
['hour_4770',['HOUR',['../unionRTC__MODE2__CLOCK__Type.html#ad9556bec775c56890e1bf72ce4c0cc44',1,'RTC_MODE2_CLOCK_Type::HOUR()'],['../unionRTC__MODE2__ALARM__Type.html#a49acbe444655effedb68b89443c04ac6',1,'RTC_MODE2_ALARM_Type::HOUR()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a42cf61138c40d5a130d6425026ba919e',1,'RTC_MODE2_TIMESTAMP_Type::HOUR()']]],
['hpb0_5f_4771',['HPB0_',['../unionMCLK__AHBMASK__Type.html#a87cc1023b4402c952c344faadaaa2ee0',1,'MCLK_AHBMASK_Type::HPB0_()'],['../unionPAC__INTFLAGAHB__Type.html#a4f02abe23ecb09a7e8b22aa17c75e72e',1,'PAC_INTFLAGAHB_Type::HPB0_()']]],
['hpb0_5faddr_4772',['HPB0_ADDR',['../same54n19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p20a.h']]],
['hpb1_5f_4773',['HPB1_',['../unionMCLK__AHBMASK__Type.html#a8ddd504fd2451971545bb3838c02e9b4',1,'MCLK_AHBMASK_Type::HPB1_()'],['../unionPAC__INTFLAGAHB__Type.html#afdedb761fe7c06817c11b1a118328587',1,'PAC_INTFLAGAHB_Type::HPB1_()']]],
['hpb1_5faddr_4774',['HPB1_ADDR',['../same54n19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p20a.h']]],
['hpb2_5f_4775',['HPB2_',['../unionMCLK__AHBMASK__Type.html#a6ba501218dba4d741bf7c74331231a4d',1,'MCLK_AHBMASK_Type::HPB2_()'],['../unionPAC__INTFLAGAHB__Type.html#a1265ba4ea019230d8dcb8349a78837fc',1,'PAC_INTFLAGAHB_Type::HPB2_()']]],
['hpb2_5faddr_4776',['HPB2_ADDR',['../same54n19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p20a.h']]],
['hpb3_5f_4777',['HPB3_',['../unionMCLK__AHBMASK__Type.html#aebab50a1fbc422411ef5481650532a4d',1,'MCLK_AHBMASK_Type::HPB3_()'],['../unionPAC__INTFLAGAHB__Type.html#a1a621bbacf6593fe6841ccbaa61d3d98',1,'PAC_INTFLAGAHB_Type::HPB3_()']]],
['hpb3_5faddr_4778',['HPB3_ADDR',['../same54n19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p20a.h']]],
['hpe_4779',['HPE',['../unionDSU__STATUSB__Type.html#a8d1bbe448ed8ffb57221323c43f585c3',1,'DSU_STATUSB_Type']]],
['hpm_4780',['HPM',['../unionCAN__IR__Type.html#ab9bf540c8691d769fc8c0391d2b02fb2',1,'CAN_IR_Type']]],
['hpme_4781',['HPME',['../unionCAN__IE__Type.html#a0cc09d60972f98a071522bc4603f4bb7',1,'CAN_IE_Type']]],
['hpml_4782',['HPML',['../unionCAN__ILS__Type.html#aa05fa7a5a3c2af12924cbdffdee2d2bd',1,'CAN_ILS_Type']]],
['hpms_4783',['HPMS',['../structCan.html#a0e036ec4d58b56b6125028d6d9c69802',1,'Can']]],
['hrb_4784',['HRB',['../structGmac.html#afa8f5941a7878cb025dfd325a34db933',1,'Gmac']]],
['hresp_4785',['HRESP',['../unionGMAC__TSR__Type.html#a13b75aa90be667f78da816ae139a1104',1,'GMAC_TSR_Type::HRESP()'],['../unionGMAC__ISR__Type.html#a930971373ee8bde02b6caed34cc7077b',1,'GMAC_ISR_Type::HRESP()'],['../unionGMAC__IER__Type.html#ab9a8ac6b2356c7f3ced9ebda0ee516d9',1,'GMAC_IER_Type::HRESP()'],['../unionGMAC__IDR__Type.html#a14156f3684660b915421b5c40c398f7f',1,'GMAC_IDR_Type::HRESP()'],['../unionGMAC__IMR__Type.html#aea0a5508348c99e9b8e9d82e970d6231',1,'GMAC_IMR_Type::HRESP()']]],
['hrt_4786',['HRT',['../structGmac.html#a5cd61cbb140418b656e107d82949c894',1,'Gmac']]],
['hs_4787',['HS',['../unionSERCOM__I2CS__STATUS__Type.html#a2530ded11209ee2c7652819388ce22ae',1,'SERCOM_I2CS_STATUS_Type::HS()'],['../unionSERCOM__I2CM__ADDR__Type.html#ac823a6f7632bbf630d0b57c4345e3c93',1,'SERCOM_I2CM_ADDR_Type::HS()']]],
['hs200en_4788',['HS200EN',['../unionSDHC__HC2R__Type.html#a5093cf413e2c06cc0307bb31343ea085',1,'SDHC_HC2R_Type']]],
['hsbaud_4789',['HSBAUD',['../unionSERCOM__I2CM__BAUD__Type.html#aad63f82f103874e1102a1d4f572a4bf4',1,'SERCOM_I2CM_BAUD_Type']]],
['hsbaudlow_4790',['HSBAUDLOW',['../unionSERCOM__I2CM__BAUD__Type.html#a1326b0c336f7d4df5d873ebe51518ff2',1,'SERCOM_I2CM_BAUD_Type']]],
['hsdiv_4791',['HSDIV',['../structMclk.html#ad4666527690ddf4e762c74371d897192',1,'Mclk']]],
['hsen_4792',['HSEN',['../unionSDHC__HC1R__Type.html#a4da35b382ebca5aa7c3cbf6ae7c6be71',1,'SDHC_HC1R_Type']]],
['hsof_4793',['HSOF',['../unionUSB__HOST__INTENCLR__Type.html#a0bb3be00156efcebdfa36a2a9edd2932',1,'USB_HOST_INTENCLR_Type::HSOF()'],['../unionUSB__HOST__INTENSET__Type.html#a543228a4c7ce18bc712cb864314ac73e',1,'USB_HOST_INTENSET_Type::HSOF()'],['../unionUSB__HOST__INTFLAG__Type.html#af6097442b7a71eff0741e232394a43b4',1,'USB_HOST_INTFLAG_Type::HSOF()']]],
['hsofc_4794',['HSOFC',['../structUsbHost.html#a17efa8e8f8a411546819621a4531801d',1,'UsbHost']]],
['hsram_5f_4795',['HSRAM_',['../unionMCLK__AHBMASK__Type.html#a8f9758f1796cb4f7d549ccfe05a5feed',1,'MCLK_AHBMASK_Type']]],
['hsram_5faddr_4796',['HSRAM_ADDR',['../same54n19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5faddr_4797',['HSRAM_ETB_ADDR',['../same54n19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5fsize_4798',['HSRAM_ETB_SIZE',['../same54n19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p20a.h']]],
['hsram_5fret1_5faddr_4799',['HSRAM_RET1_ADDR',['../same54n19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p20a.h']]],
['hsram_5fret1_5fsize_4800',['HSRAM_RET1_SIZE',['../same54n19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p20a.h']]],
['hsram_5fsize_4801',['HSRAM_SIZE',['../same54n19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p20a.h']]],
['hssup_4802',['HSSUP',['../unionSDHC__CA0R__Type.html#a026cbbd71ffbdc501315a9e19ec71772',1,'SDHC_CA0R_Type']]],
['hwsel_4803',['HWSEL',['../unionPORT__WRCONFIG__Type.html#a80691fb2eb17ed13201d9ca4ec6eac5f',1,'PORT_WRCONFIG_Type']]],
['hyst_4804',['HYST',['../unionAC__COMPCTRL__Type.html#ab6a2ee44b0ba4da3ce7fc4bc1ba7f78a',1,'AC_COMPCTRL_Type::HYST()'],['../unionSUPC__BOD33__Type.html#a61e4e2ea6345ecd8d21043d17393e60a',1,'SUPC_BOD33_Type::HYST()']]],
['hysten_4805',['HYSTEN',['../unionAC__COMPCTRL__Type.html#a6f9f071746c46a04cabc33c965d8cdb5',1,'AC_COMPCTRL_Type']]],
['hsb_20matrix_4806',['HSB Matrix',['../group__SAME54__HMATRIXB.html',1,'']]]
['halfs_4758',['HALFS',['../unionPCC__MR__Type.html#a31a45244b60213d85e1b9b102489e989',1,'PCC_MR_Type']]],
['halt_4759',['HALT',['../unionTCC__FCTRLA__Type.html#a7643d947a35def2b3eeb5bdcdec53d4d',1,'TCC_FCTRLA_Type::HALT()'],['../unionTCC__FCTRLB__Type.html#a7eed0a0c8f87c7fb9f86983c7a68d96d',1,'TCC_FCTRLB_Type::HALT()']]],
['haprot_4760',['HAPROT',['../unionICM__CFG__Type.html#af4c899048afea95540fecd980f3d0868',1,'ICM_CFG_Type']]],
['hardfault_5firqn_4761',['HardFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p20a.h']]],
['hasa_4762',['HASA',['../unionICM__HASH__Type.html#a146dc6f4fcd9cc11aa3fc5daac4a9000',1,'ICM_HASH_Type']]],
['hash_4763',['HASH',['../structIcm.html#ade104b78652eb14c1131971755342531',1,'Icm']]],
['hashkey_4764',['HASHKEY',['../structAes.html#a373b4c4a4a050241e73d34dd654181cf',1,'Aes']]],
['hc1r_4765',['HC1R',['../structSdhc.html#a1bf67e3e42d5f4bcb463f3ca08d8f7a5',1,'Sdhc']]],
['hc2r_4766',['HC2R',['../structSdhc.html#ae127cfd080359c4ac12d577b0a37c62b',1,'Sdhc']]],
['hcker_4767',['HCKER',['../unionGMAC__IHCE__Type.html#aac6b6239e279b1bf60e6d133ffeea0f1',1,'GMAC_IHCE_Type']]],
['hcvr_4768',['HCVR',['../structSdhc.html#a939d29db6ed07054f0cbc7920ed94b06',1,'Sdhc']]],
['hdrdly_4769',['HDRDLY',['../unionSERCOM__USART__CTRLC__Type.html#a80ca8f7897d3b4a2f12bc8dd9a38868d',1,'SERCOM_USART_CTRLC_Type']]],
['herr_4770',['HERR',['../unionPDEC__STATUS__Type.html#ac91411e5f4cea4126a79290b83ea2a74',1,'PDEC_STATUS_Type']]],
['hf_4771',['HF',['../unionPICOP__HF__Type.html#acc86ec248bd44204d52988fc4b2c2f17',1,'PICOP_HF_Type::HF()'],['../structPicop.html#a9922d0410630ffb4e71a75c1f0210a1c',1,'Picop::HF()']]],
['hfclr0_4772',['HFCLR0',['../unionPICOP__HFSETCLR0__Type.html#a2e0ec1018d458e106fbd996e610ee666',1,'PICOP_HFSETCLR0_Type']]],
['hfclr1_4773',['HFCLR1',['../unionPICOP__HFSETCLR0__Type.html#a9ed01a6e49d89e600a6a460527bbafa0',1,'PICOP_HFSETCLR0_Type']]],
['hfclr2_4774',['HFCLR2',['../unionPICOP__HFSETCLR1__Type.html#a8e1d54d2bd301d865bcca76389798eea',1,'PICOP_HFSETCLR1_Type']]],
['hfclr3_4775',['HFCLR3',['../unionPICOP__HFSETCLR1__Type.html#a284d2543360ede39ee5e81c086324aa3',1,'PICOP_HFSETCLR1_Type']]],
['hfctrl_4776',['HFCTRL',['../structPicop.html#a72e0cb6cee60f79cedd6740ae73f2ec1',1,'Picop']]],
['hfset0_4777',['HFSET0',['../unionPICOP__HFSETCLR0__Type.html#aa1fdd6a4f68741e9ceef989ad39f7514',1,'PICOP_HFSETCLR0_Type']]],
['hfset1_4778',['HFSET1',['../unionPICOP__HFSETCLR0__Type.html#a4fd431bf41a0065128e1afa8d5a60181',1,'PICOP_HFSETCLR0_Type']]],
['hfset2_4779',['HFSET2',['../unionPICOP__HFSETCLR1__Type.html#a33ad41259a3ba3d3ca72fb7b6b444f92',1,'PICOP_HFSETCLR1_Type']]],
['hfset3_4780',['HFSET3',['../unionPICOP__HFSETCLR1__Type.html#a935fdd6f1ca602cfb9fb45a0179732e7',1,'PICOP_HFSETCLR1_Type']]],
['hfsetclr0_4781',['HFSETCLR0',['../structPicop.html#ad873f312530d7567b9d1a36a42f3469c',1,'Picop']]],
['hfsetclr1_4782',['HFSETCLR1',['../structPicop.html#ae4a756353acdc0379c43f10704f714c3',1,'Picop']]],
['hib_4783',['HIB',['../unionRSTC__BKUPEXIT__Type.html#ad3eb4e110007a155c20a1263ab428ee1',1,'RSTC_BKUPEXIT_Type']]],
['hibcfg_4784',['HIBCFG',['../structPm.html#a276ad02ba19ab8cb44e81e4f85fa9fd8',1,'Pm']]],
['hmatrix_4785',['HMATRIX',['../same54n19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p20a.h']]],
['hmatrix_2eh_4786',['hmatrix.h',['../hmatrix_8h.html',1,'']]],
['hmatrix_5f_4787',['HMATRIX_',['../unionMCLK__AHBMASK__Type.html#a9c6223daa319f557015aa138d58d1e7b',1,'MCLK_AHBMASK_Type::HMATRIX_()'],['../unionMCLK__APBBMASK__Type.html#a4c6952cb131c60d2497141747bdcf841',1,'MCLK_APBBMASK_Type::HMATRIX_()'],['../unionPAC__INTFLAGB__Type.html#ac6a1e0fcd718d3a0238303e84e094baa',1,'PAC_INTFLAGB_Type::HMATRIX_()'],['../unionPAC__STATUSB__Type.html#a7d5683e6a305f2892c2c5c67e942d9df',1,'PAC_STATUSB_Type::HMATRIX_()']]],
['hmatrixb_4788',['Hmatrixb',['../structHmatrixb.html',1,'']]],
['hmatrixb_2eh_4789',['hmatrixb.h',['../hmatrixb_8h.html',1,'']]],
['hmatrixb_5finst_5fnum_4790',['HMATRIXB_INST_NUM',['../same54n19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p20a.h']]],
['hmatrixb_5finsts_4791',['HMATRIXB_INSTS',['../same54n19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p20a.h']]],
['hmatrixb_5fpras_5fmask_4792',['HMATRIXB_PRAS_MASK',['../hmatrixb_8h.html#a0b91fa322894f20c4280a0b9e76c9359',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5foffset_4793',['HMATRIXB_PRAS_OFFSET',['../hmatrixb_8h.html#ada8f35a5ebe92757fe031cde38a7761c',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5fresetvalue_4794',['HMATRIXB_PRAS_RESETVALUE',['../hmatrixb_8h.html#a2bdb9b1fece4ef8d1a1b1b4e87573191',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5ftype_4795',['HMATRIXB_PRAS_Type',['../unionHMATRIXB__PRAS__Type.html',1,'']]],
['hmatrixb_5fprbs_5fmask_4796',['HMATRIXB_PRBS_MASK',['../hmatrixb_8h.html#a55be008834b446b516397e7a279be596',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5foffset_4797',['HMATRIXB_PRBS_OFFSET',['../hmatrixb_8h.html#a6885cd77fd1330b5c5d63f0fd50221be',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fresetvalue_4798',['HMATRIXB_PRBS_RESETVALUE',['../hmatrixb_8h.html#a27bcb999749a62644f8eb6ec5f2503d7',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5ftype_4799',['HMATRIXB_PRBS_Type',['../unionHMATRIXB__PRBS__Type.html',1,'']]],
['hmatrixbprs_4800',['HmatrixbPrs',['../structHmatrixbPrs.html',1,'']]],
['hno_4801',['HNO',['../unionGMAC__RSR__Type.html#a7fca3c31b61bb3744ae5bb25d050e8e9',1,'GMAC_RSR_Type']]],
['host_4802',['HOST',['../unionUsb.html#ac65633b009d0ac17e59df4f3928c3d9b',1,'Usb']]],
['hostdescbank_4803',['HostDescBank',['../structUsbHostDescriptor.html#afc9519a5c66a5a03cfecbe8321c9f297',1,'UsbHostDescriptor']]],
['hostpipe_4804',['HostPipe',['../structUsbHost.html#aaaaeec7d94e8596797490a67a80f5ce4',1,'UsbHost']]],
['hour_4805',['HOUR',['../unionRTC__MODE2__CLOCK__Type.html#ad9556bec775c56890e1bf72ce4c0cc44',1,'RTC_MODE2_CLOCK_Type::HOUR()'],['../unionRTC__MODE2__ALARM__Type.html#a49acbe444655effedb68b89443c04ac6',1,'RTC_MODE2_ALARM_Type::HOUR()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a42cf61138c40d5a130d6425026ba919e',1,'RTC_MODE2_TIMESTAMP_Type::HOUR()']]],
['hpb0_5f_4806',['HPB0_',['../unionMCLK__AHBMASK__Type.html#a87cc1023b4402c952c344faadaaa2ee0',1,'MCLK_AHBMASK_Type::HPB0_()'],['../unionPAC__INTFLAGAHB__Type.html#a4f02abe23ecb09a7e8b22aa17c75e72e',1,'PAC_INTFLAGAHB_Type::HPB0_()']]],
['hpb0_5faddr_4807',['HPB0_ADDR',['../same54n19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p20a.h']]],
['hpb1_5f_4808',['HPB1_',['../unionMCLK__AHBMASK__Type.html#a8ddd504fd2451971545bb3838c02e9b4',1,'MCLK_AHBMASK_Type::HPB1_()'],['../unionPAC__INTFLAGAHB__Type.html#afdedb761fe7c06817c11b1a118328587',1,'PAC_INTFLAGAHB_Type::HPB1_()']]],
['hpb1_5faddr_4809',['HPB1_ADDR',['../same54n19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p20a.h']]],
['hpb2_5f_4810',['HPB2_',['../unionMCLK__AHBMASK__Type.html#a6ba501218dba4d741bf7c74331231a4d',1,'MCLK_AHBMASK_Type::HPB2_()'],['../unionPAC__INTFLAGAHB__Type.html#a1265ba4ea019230d8dcb8349a78837fc',1,'PAC_INTFLAGAHB_Type::HPB2_()']]],
['hpb2_5faddr_4811',['HPB2_ADDR',['../same54n19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p20a.h']]],
['hpb3_5f_4812',['HPB3_',['../unionMCLK__AHBMASK__Type.html#aebab50a1fbc422411ef5481650532a4d',1,'MCLK_AHBMASK_Type::HPB3_()'],['../unionPAC__INTFLAGAHB__Type.html#a1a621bbacf6593fe6841ccbaa61d3d98',1,'PAC_INTFLAGAHB_Type::HPB3_()']]],
['hpb3_5faddr_4813',['HPB3_ADDR',['../same54n19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p20a.h']]],
['hpe_4814',['HPE',['../unionDSU__STATUSB__Type.html#a8d1bbe448ed8ffb57221323c43f585c3',1,'DSU_STATUSB_Type']]],
['hpm_4815',['HPM',['../unionCAN__IR__Type.html#ab9bf540c8691d769fc8c0391d2b02fb2',1,'CAN_IR_Type']]],
['hpme_4816',['HPME',['../unionCAN__IE__Type.html#a0cc09d60972f98a071522bc4603f4bb7',1,'CAN_IE_Type']]],
['hpml_4817',['HPML',['../unionCAN__ILS__Type.html#aa05fa7a5a3c2af12924cbdffdee2d2bd',1,'CAN_ILS_Type']]],
['hpms_4818',['HPMS',['../structCan.html#a0e036ec4d58b56b6125028d6d9c69802',1,'Can']]],
['hrb_4819',['HRB',['../structGmac.html#afa8f5941a7878cb025dfd325a34db933',1,'Gmac']]],
['hresp_4820',['HRESP',['../unionGMAC__TSR__Type.html#a13b75aa90be667f78da816ae139a1104',1,'GMAC_TSR_Type::HRESP()'],['../unionGMAC__ISR__Type.html#a930971373ee8bde02b6caed34cc7077b',1,'GMAC_ISR_Type::HRESP()'],['../unionGMAC__IER__Type.html#ab9a8ac6b2356c7f3ced9ebda0ee516d9',1,'GMAC_IER_Type::HRESP()'],['../unionGMAC__IDR__Type.html#a14156f3684660b915421b5c40c398f7f',1,'GMAC_IDR_Type::HRESP()'],['../unionGMAC__IMR__Type.html#aea0a5508348c99e9b8e9d82e970d6231',1,'GMAC_IMR_Type::HRESP()']]],
['hrt_4821',['HRT',['../structGmac.html#a5cd61cbb140418b656e107d82949c894',1,'Gmac']]],
['hs_4822',['HS',['../unionSERCOM__I2CS__STATUS__Type.html#a2530ded11209ee2c7652819388ce22ae',1,'SERCOM_I2CS_STATUS_Type::HS()'],['../unionSERCOM__I2CM__ADDR__Type.html#ac823a6f7632bbf630d0b57c4345e3c93',1,'SERCOM_I2CM_ADDR_Type::HS()']]],
['hs200en_4823',['HS200EN',['../unionSDHC__HC2R__Type.html#a5093cf413e2c06cc0307bb31343ea085',1,'SDHC_HC2R_Type']]],
['hsbaud_4824',['HSBAUD',['../unionSERCOM__I2CM__BAUD__Type.html#aad63f82f103874e1102a1d4f572a4bf4',1,'SERCOM_I2CM_BAUD_Type']]],
['hsbaudlow_4825',['HSBAUDLOW',['../unionSERCOM__I2CM__BAUD__Type.html#a1326b0c336f7d4df5d873ebe51518ff2',1,'SERCOM_I2CM_BAUD_Type']]],
['hsdiv_4826',['HSDIV',['../structMclk.html#ad4666527690ddf4e762c74371d897192',1,'Mclk']]],
['hsen_4827',['HSEN',['../unionSDHC__HC1R__Type.html#a4da35b382ebca5aa7c3cbf6ae7c6be71',1,'SDHC_HC1R_Type']]],
['hsof_4828',['HSOF',['../unionUSB__HOST__INTENCLR__Type.html#a0bb3be00156efcebdfa36a2a9edd2932',1,'USB_HOST_INTENCLR_Type::HSOF()'],['../unionUSB__HOST__INTENSET__Type.html#a543228a4c7ce18bc712cb864314ac73e',1,'USB_HOST_INTENSET_Type::HSOF()'],['../unionUSB__HOST__INTFLAG__Type.html#af6097442b7a71eff0741e232394a43b4',1,'USB_HOST_INTFLAG_Type::HSOF()']]],
['hsofc_4829',['HSOFC',['../structUsbHost.html#a17efa8e8f8a411546819621a4531801d',1,'UsbHost']]],
['hsram_5f_4830',['HSRAM_',['../unionMCLK__AHBMASK__Type.html#a8f9758f1796cb4f7d549ccfe05a5feed',1,'MCLK_AHBMASK_Type']]],
['hsram_5faddr_4831',['HSRAM_ADDR',['../same54n19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5faddr_4832',['HSRAM_ETB_ADDR',['../same54n19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5fsize_4833',['HSRAM_ETB_SIZE',['../same54n19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p20a.h']]],
['hsram_5fret1_5faddr_4834',['HSRAM_RET1_ADDR',['../same54n19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p20a.h']]],
['hsram_5fret1_5fsize_4835',['HSRAM_RET1_SIZE',['../same54n19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p20a.h']]],
['hsram_5fsize_4836',['HSRAM_SIZE',['../same54n19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p20a.h']]],
['hssup_4837',['HSSUP',['../unionSDHC__CA0R__Type.html#a026cbbd71ffbdc501315a9e19ec71772',1,'SDHC_CA0R_Type']]],
['hwsel_4838',['HWSEL',['../unionPORT__WRCONFIG__Type.html#a80691fb2eb17ed13201d9ca4ec6eac5f',1,'PORT_WRCONFIG_Type']]],
['hyst_4839',['HYST',['../unionAC__COMPCTRL__Type.html#ab6a2ee44b0ba4da3ce7fc4bc1ba7f78a',1,'AC_COMPCTRL_Type::HYST()'],['../unionSUPC__BOD33__Type.html#a61e4e2ea6345ecd8d21043d17393e60a',1,'SUPC_BOD33_Type::HYST()']]],
['hysten_4840',['HYSTEN',['../unionAC__COMPCTRL__Type.html#a6f9f071746c46a04cabc33c965d8cdb5',1,'AC_COMPCTRL_Type']]],
['hsb_20matrix_4841',['HSB Matrix',['../group__SAME54__HMATRIXB.html',1,'']]]
];

File diff suppressed because it is too large Load Diff

@ -1,10 +1,10 @@
var searchData=
[
['jepcc_5345',['JEPCC',['../unionDSU__PID4__Type.html#a351cf48be2b0e2845a7a8987c3c869f8',1,'DSU_PID4_Type']]],
['jepidch_5346',['JEPIDCH',['../unionDSU__PID2__Type.html#a98d6ae81bae471444221dad368417fcb',1,'DSU_PID2_Type']]],
['jepidcl_5347',['JEPIDCL',['../unionDSU__PID1__Type.html#a00638eca3237e0bfedae6c22418203e5',1,'DSU_PID1_Type']]],
['jepu_5348',['JEPU',['../unionDSU__PID2__Type.html#ae1fa34e088136d567cd1769499c50ab3',1,'DSU_PID2_Type']]],
['jframe_5349',['JFRAME',['../unionGMAC__NCFGR__Type.html#a467bcb5297f96228404bef7092144d57',1,'GMAC_NCFGR_Type']]],
['jr_5350',['JR',['../structGmac.html#a768177c94dfd5d48ae6a35cecf3c7dcb',1,'Gmac']]],
['jrx_5351',['JRX',['../unionGMAC__JR__Type.html#ad7e3d0e197158359eddd4fbb92042ba4',1,'GMAC_JR_Type']]]
['jepcc_5380',['JEPCC',['../unionDSU__PID4__Type.html#a351cf48be2b0e2845a7a8987c3c869f8',1,'DSU_PID4_Type']]],
['jepidch_5381',['JEPIDCH',['../unionDSU__PID2__Type.html#a98d6ae81bae471444221dad368417fcb',1,'DSU_PID2_Type']]],
['jepidcl_5382',['JEPIDCL',['../unionDSU__PID1__Type.html#a00638eca3237e0bfedae6c22418203e5',1,'DSU_PID1_Type']]],
['jepu_5383',['JEPU',['../unionDSU__PID2__Type.html#ae1fa34e088136d567cd1769499c50ab3',1,'DSU_PID2_Type']]],
['jframe_5384',['JFRAME',['../unionGMAC__NCFGR__Type.html#a467bcb5297f96228404bef7092144d57',1,'GMAC_NCFGR_Type']]],
['jr_5385',['JR',['../structGmac.html#a768177c94dfd5d48ae6a35cecf3c7dcb',1,'Gmac']]],
['jrx_5386',['JRX',['../unionGMAC__JR__Type.html#ad7e3d0e197158359eddd4fbb92042ba4',1,'GMAC_JR_Type']]]
];

@ -1,8 +1,8 @@
var searchData=
[
['keep_5352',['KEEP',['../unionTCC__FCTRLA__Type.html#a8709b8af832fea4e253705934dcd6a51',1,'TCC_FCTRLA_Type::KEEP()'],['../unionTCC__FCTRLB__Type.html#a97e6b61f5a3bf8a10c239a047763b05e',1,'TCC_FCTRLB_Type::KEEP()']]],
['key_5353',['KEY',['../unionPAC__WRCTRL__Type.html#a59fabdc8cf31587de5969dd1627393d8',1,'PAC_WRCTRL_Type::KEY()'],['../unionQSPI__SCRAMBKEY__Type.html#a3ad1c3c8a178b733ac83375faa3f9ab4',1,'QSPI_SCRAMBKEY_Type::KEY()'],['../unionSDHC__CACR__Type.html#ab1fed52077f070e376d19c93808c2a44',1,'SDHC_CACR_Type::KEY()']]],
['keygen_5354',['KEYGEN',['../unionAES__CTRLA__Type.html#aa54b2619f86e7514067db59e24eb23da',1,'AES_CTRLA_Type']]],
['keysize_5355',['KEYSIZE',['../unionAES__CTRLA__Type.html#a5295f8b99d5a0de58c6917a25ba774dc',1,'AES_CTRLA_Type']]],
['keyword_5356',['KEYWORD',['../structAes.html#aa078dad93841d5c347439dddbe038974',1,'Aes']]]
['keep_5387',['KEEP',['../unionTCC__FCTRLA__Type.html#a8709b8af832fea4e253705934dcd6a51',1,'TCC_FCTRLA_Type::KEEP()'],['../unionTCC__FCTRLB__Type.html#a97e6b61f5a3bf8a10c239a047763b05e',1,'TCC_FCTRLB_Type::KEEP()']]],
['key_5388',['KEY',['../unionPAC__WRCTRL__Type.html#a59fabdc8cf31587de5969dd1627393d8',1,'PAC_WRCTRL_Type::KEY()'],['../unionQSPI__SCRAMBKEY__Type.html#a3ad1c3c8a178b733ac83375faa3f9ab4',1,'QSPI_SCRAMBKEY_Type::KEY()'],['../unionSDHC__CACR__Type.html#ab1fed52077f070e376d19c93808c2a44',1,'SDHC_CACR_Type::KEY()']]],
['keygen_5389',['KEYGEN',['../unionAES__CTRLA__Type.html#aa54b2619f86e7514067db59e24eb23da',1,'AES_CTRLA_Type']]],
['keysize_5390',['KEYSIZE',['../unionAES__CTRLA__Type.html#a5295f8b99d5a0de58c6917a25ba774dc',1,'AES_CTRLA_Type']]],
['keyword_5391',['KEYWORD',['../structAes.html#aa078dad93841d5c347439dddbe038974',1,'Aes']]]
];

@ -1,69 +1,69 @@
var searchData=
[
['l1resume_5357',['L1RESUME',['../unionUSB__HOST__CTRLB__Type.html#ab9f6096d3316ad185c305f563008ddc5',1,'USB_HOST_CTRLB_Type']]],
['lastxfer_5358',['LASTXFER',['../unionQSPI__CTRLA__Type.html#ae7ec0782952fbc3348b26e0560f08845',1,'QSPI_CTRLA_Type']]],
['lbck_5359',['LBCK',['../unionCAN__TEST__Type.html#af4948029295b486c8864c9a817a0ad25',1,'CAN_TEST_Type']]],
['lbl_5360',['LBL',['../unionGMAC__NCR__Type.html#a5122020b26212980a28c01e2caaaf171',1,'GMAC_NCR_Type']]],
['lbypass_5361',['LBYPASS',['../unionOSCCTRL__DPLLCTRLB__Type.html#a8437737759df6317fad5a28fc58b3148',1,'OSCCTRL_DPLLCTRLB_Type']]],
['lc_5362',['LC',['../structGmac.html#abd58dcc8bfcbf7b4355fea78e86fdd7a',1,'Gmac']]],
['lckdown_5363',['LCKDOWN',['../unionCMCC__TYPE__Type.html#af2aeea986c35297b98beb63c1f48b93f',1,'CMCC_TYPE_Type']]],
['lckway_5364',['LCKWAY',['../unionCMCC__LCKWAY__Type.html#aab6d6f12b17ed73e34ae768ff0564478',1,'CMCC_LCKWAY_Type::LCKWAY()'],['../structCmcc.html#a8f4925ba24d719ab190d4bf241922f0a',1,'Cmcc::LCKWAY()']]],
['lcol_5365',['LCOL',['../unionGMAC__LC__Type.html#a8764f8051c5b5e579c6b2a4bd371cf20',1,'GMAC_LC_Type']]],
['ldr_5366',['LDR',['../unionOSCCTRL__DPLLRATIO__Type.html#a944904ee3e32c3f797efade57712548d',1,'OSCCTRL_DPLLRATIO_Type']]],
['ldrfrac_5367',['LDRFRAC',['../unionOSCCTRL__DPLLRATIO__Type.html#a4be4a53e3e873744e880b775b1059e98',1,'OSCCTRL_DPLLRATIO_Type']]],
['lec_5368',['LEC',['../unionCAN__PSR__Type.html#aae193b937fe6e78de2f80d9eab1494d3',1,'CAN_PSR_Type']]],
['ledctrl_5369',['LEDCTRL',['../unionSDHC__HC1R__Type.html#a6e95f002fbf8959ddfcf75d02f43e3fb',1,'SDHC_HC1R_Type']]],
['leftadj_5370',['LEFTADJ',['../unionADC__CTRLB__Type.html#a8a7540f76cb3eeb2321d1409d0c3c03c',1,'ADC_CTRLB_Type::LEFTADJ()'],['../unionDAC__DACCTRL__Type.html#ad065c50db4f793eb52702331e932e24b',1,'DAC_DACCTRL_Type::LEFTADJ()']]],
['len_5371',['LEN',['../unionSERCOM__I2CS__LENGTH__Type.html#a57f296eb126c1d2dfe7d3f0897513e45',1,'SERCOM_I2CS_LENGTH_Type::LEN()'],['../unionSERCOM__SPI__LENGTH__Type.html#a046521729cef3fbf048da1f8e9de4018',1,'SERCOM_SPI_LENGTH_Type::LEN()'],['../unionSERCOM__USART__LENGTH__Type.html#a407fde69420d157bc7632b374a470837',1,'SERCOM_USART_LENGTH_Type::LEN()'],['../unionSERCOM__I2CM__ADDR__Type.html#aae86180ed9f26e3d619a90a303959954',1,'SERCOM_I2CM_ADDR_Type::LEN()']]],
['lenen_5372',['LENEN',['../unionSERCOM__I2CS__LENGTH__Type.html#a4c731099da5943b79ed2566d42739abc',1,'SERCOM_I2CS_LENGTH_Type::LENEN()'],['../unionSERCOM__SPI__LENGTH__Type.html#a641b0d24441a525b7a2ef9b1d8ff8949',1,'SERCOM_SPI_LENGTH_Type::LENEN()'],['../unionSERCOM__USART__LENGTH__Type.html#a440cb766040a4a171404decb915e770d',1,'SERCOM_USART_LENGTH_Type::LENEN()'],['../unionSERCOM__I2CM__ADDR__Type.html#a5b0e5a2e5fe8837616a169b02a56126a',1,'SERCOM_I2CM_ADDR_Type::LENEN()']]],
['lenerr_5373',['LENERR',['../unionSERCOM__I2CM__STATUS__Type.html#a9fd1dd7958cadcf7d8e0e1bcab3da63f',1,'SERCOM_I2CM_STATUS_Type::LENERR()'],['../unionSERCOM__I2CS__STATUS__Type.html#a64f443951bbed302de6b95fc0e952d2f',1,'SERCOM_I2CS_STATUS_Type::LENERR()'],['../unionSERCOM__SPI__STATUS__Type.html#a77fb88a6858c923c45a45d02e61fec38',1,'SERCOM_SPI_STATUS_Type::LENERR()']]],
['length_5374',['LENGTH',['../unionDSU__LENGTH__Type.html#adadf43167c8c012916cd60e1916a93f3',1,'DSU_LENGTH_Type::LENGTH()'],['../structDsu.html#af13eeff822c0542965939b8a078bc496',1,'Dsu::LENGTH()'],['../unionSERCOM__I2CM__SYNCBUSY__Type.html#aa3f89d176812e8b74cc55313dc5bd237',1,'SERCOM_I2CM_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__I2CS__SYNCBUSY__Type.html#a2dab6a7bc86f72ce11f3210affb68820',1,'SERCOM_I2CS_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__SPI__SYNCBUSY__Type.html#ac3d6deaf13b29af7fd8c5f8fd16abe1a',1,'SERCOM_SPI_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__USART__SYNCBUSY__Type.html#a2a029c4c54101abdc9fe5038c5478b20',1,'SERCOM_USART_SYNCBUSY_Type::LENGTH()'],['../structSercomI2cs.html#ac3caf69661f254afccbfc12eb6c498c5',1,'SercomI2cs::LENGTH()'],['../structSercomSpi.html#a18f51e8281c8163249bd8f38fc47a65b',1,'SercomSpi::LENGTH()'],['../structSercomUsart.html#afaebddcec542423a7404759bf2793e1e',1,'SercomUsart::LENGTH()']]],
['level_5375',['LEVEL',['../unionSUPC__BOD33__Type.html#a1c6203ee8c716b16b286d200b6a0b1d0',1,'SUPC_BOD33_Type']]],
['lfer_5376',['LFER',['../unionGMAC__LFFE__Type.html#a4e4b895da1f524d750e59b1b4d8af439',1,'GMAC_LFFE_Type']]],
['lferd_5377',['LFERD',['../unionGMAC__NCFGR__Type.html#a71ecaf93a385a3c847c73046afb3d42b',1,'GMAC_NCFGR_Type']]],
['lffe_5378',['LFFE',['../structGmac.html#a109022aaee9364c2d405b0ee5f579f8d',1,'Gmac']]],
['lincmd_5379',['LINCMD',['../unionSERCOM__USART__CTRLB__Type.html#a192378757774495537b221198f2a5c4d',1,'SERCOM_USART_CTRLB_Type']]],
['linestate_5380',['LINESTATE',['../unionUSB__DEVICE__STATUS__Type.html#a421c10b69ab41439af333f5d15188ca7',1,'USB_DEVICE_STATUS_Type::LINESTATE()'],['../unionUSB__HOST__STATUS__Type.html#aa10767637f90d7108679ded740607b9b',1,'USB_HOST_STATUS_Type::LINESTATE()']]],
['link_5381',['LINK',['../structPicop.html#a13f70fef9f202e157ba106f2c56eb4e1',1,'Picop']]],
['llaw_5382',['LLAW',['../unionOSCCTRL__DFLLCTRLB__Type.html#ad0a1e5bfed4cf31a29a2118558a69df3',1,'OSCCTRL_DFLLCTRLB_Type']]],
['lmis_5383',['LMIS',['../unionSDHC__AESR__Type.html#afadea9cd66ca591673c9bc723bd9943c',1,'SDHC_AESR_Type']]],
['load_5384',['LOAD',['../unionNVMCTRL__STATUS__Type.html#adafdfedd11c1fb246fa0642d6c125578',1,'NVMCTRL_STATUS_Type::LOAD()'],['../unionNVMCTRL__SEESTAT__Type.html#a77b7c3a60a7e5826a52ced534b7c00eb',1,'NVMCTRL_SEESTAT_Type::LOAD()']]],
['lock_5385',['LOCK',['../unionNVMCTRL__SEESTAT__Type.html#a791378bb2119e491f523677789f61ae8',1,'NVMCTRL_SEESTAT_Type::LOCK()'],['../unionOSCCTRL__DPLLSTATUS__Type.html#af5f426c6336b83fedaaa8b196c81617d',1,'OSCCTRL_DPLLSTATUS_Type::LOCK()']]],
['locke_5386',['LOCKE',['../unionNVMCTRL__INTENCLR__Type.html#ad93e68e0100ba0b239128b23b3eab541',1,'NVMCTRL_INTENCLR_Type::LOCKE()'],['../unionNVMCTRL__INTENSET__Type.html#a7a3225bbceed8f691b9d2815e3cead70',1,'NVMCTRL_INTENSET_Type::LOCKE()'],['../unionNVMCTRL__INTFLAG__Type.html#a5a443103568d0046dc08455295d84a97',1,'NVMCTRL_INTFLAG_Type::LOCKE()']]],
['lod_5387',['LOD',['../unionAES__CTRLA__Type.html#a1f00bd81b78e62d92ac19a6df42ea176',1,'AES_CTRLA_Type']]],
['loopen_5388',['LOOPEN',['../unionQSPI__CTRLB__Type.html#a35329ec65e15a45e4024ff0a98e67264',1,'QSPI_CTRLB_Type']]],
['lowbufgain_5389',['LOWBUFGAIN',['../unionOSCCTRL__XOSCCTRL__Type.html#afd9cb159dfb70f9f6719438541152b7d',1,'OSCCTRL_XOSCCTRL_Type']]],
['lowtout_5390',['LOWTOUT',['../unionSERCOM__I2CM__STATUS__Type.html#a71e26cd79a0a3541d5aa32865054cc30',1,'SERCOM_I2CM_STATUS_Type::LOWTOUT()'],['../unionSERCOM__I2CS__STATUS__Type.html#a2d584073ab7f73b9b518b55d442a071a',1,'SERCOM_I2CS_STATUS_Type::LOWTOUT()']]],
['lowtouten_5391',['LOWTOUTEN',['../unionSERCOM__I2CM__CTRLA__Type.html#ad06ee60b365fbca41cc2bcc7a07272ef',1,'SERCOM_I2CM_CTRLA_Type::LOWTOUTEN()'],['../unionSERCOM__I2CS__CTRLA__Type.html#abce98fdc3234d8ceb822211b739fe5c7',1,'SERCOM_I2CS_CTRLA_Type::LOWTOUTEN()']]],
['lpi_5392',['LPI',['../unionGMAC__NCR__Type.html#a57211af962f24b1a9bbc79ce44510cb7',1,'GMAC_NCR_Type']]],
['lpmhdsk_5393',['LPMHDSK',['../unionUSB__DEVICE__CTRLB__Type.html#ae420ea2fe6b7be98fedc34581aac9ca4',1,'USB_DEVICE_CTRLB_Type']]],
['lpmnyet_5394',['LPMNYET',['../unionUSB__DEVICE__INTENCLR__Type.html#a5b793ed404a85728f639e8a24820e46c',1,'USB_DEVICE_INTENCLR_Type::LPMNYET()'],['../unionUSB__DEVICE__INTENSET__Type.html#a26193ac2e578902dd31b501e3a7801d6',1,'USB_DEVICE_INTENSET_Type::LPMNYET()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a21cfd874d4fd8199e36fe3c919c02193',1,'USB_DEVICE_INTFLAG_Type::LPMNYET()']]],
['lpmsusp_5395',['LPMSUSP',['../unionUSB__DEVICE__INTENCLR__Type.html#a28fdae1cb69bc91b90e34334a5edfb28',1,'USB_DEVICE_INTENCLR_Type::LPMSUSP()'],['../unionUSB__DEVICE__INTENSET__Type.html#ad970b778fceb5d5cb7ed0bbac4c67fd9',1,'USB_DEVICE_INTENSET_Type::LPMSUSP()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a90a0caaeaae77a5ffa0130609406bda2',1,'USB_DEVICE_INTFLAG_Type::LPMSUSP()']]],
['lqos_5396',['LQOS',['../unionDSU__CFG__Type.html#a1707b3b281a6dfc43f5b9413a63b3876',1,'DSU_CFG_Type']]],
['lru0_5397',['LRU0',['../unionPICOP__ICACHELRU__Type.html#ae0bef1fe8c3f1fa2267a81335b973baf',1,'PICOP_ICACHELRU_Type']]],
['lru1_5398',['LRU1',['../unionPICOP__ICACHELRU__Type.html#a01c826920551516088322af82e8576ba',1,'PICOP_ICACHELRU_Type']]],
['lru2_5399',['LRU2',['../unionPICOP__ICACHELRU__Type.html#a89980b4fdf1f28b59b80ec724d359e54',1,'PICOP_ICACHELRU_Type']]],
['lru3_5400',['LRU3',['../unionPICOP__ICACHELRU__Type.html#aa7179a0cac135166b9a466c4cf3b7851',1,'PICOP_ICACHELRU_Type']]],
['lsbtir_5401',['LSBTIR',['../unionGMAC__TISUBN__Type.html#a791ed8c224f11204737c20293fe1e033',1,'GMAC_TISUBN_Type']]],
['lse_5402',['LSE',['../unionCAN__XIDFC__Type.html#a39d9d02083f6745f34e7f38770bc5d5d',1,'CAN_XIDFC_Type']]],
['lss_5403',['LSS',['../unionCAN__SIDFC__Type.html#a1c605eec94cab2498dd6cc818eeb26b4',1,'CAN_SIDFC_Type']]],
['ltime_5404',['LTIME',['../unionOSCCTRL__DPLLCTRLB__Type.html#ad34f88eb6a301714b9ecbc6b8259c1d8',1,'OSCCTRL_DPLLCTRLB_Type']]],
['lupd_5405',['LUPD',['../unionPDEC__CTRLBCLR__Type.html#adf3de57497ed33de6b5dcd46a7910e58',1,'PDEC_CTRLBCLR_Type::LUPD()'],['../unionPDEC__CTRLBSET__Type.html#a98dee966bb7bb054e62490482b9e3431',1,'PDEC_CTRLBSET_Type::LUPD()'],['../unionTC__CTRLBCLR__Type.html#a35a0aa5d9019ebe931c9092c174d6ff8',1,'TC_CTRLBCLR_Type::LUPD()'],['../unionTC__CTRLBSET__Type.html#a7a388c9b721adc744cfb0b5bb47c67b6',1,'TC_CTRLBSET_Type::LUPD()'],['../unionTCC__CTRLBCLR__Type.html#a3a9ab6836188c686fd338e8e492a4f31',1,'TCC_CTRLBCLR_Type::LUPD()'],['../unionTCC__CTRLBSET__Type.html#aee7f2a51f947f0fa725e854609cebb2b',1,'TCC_CTRLBSET_Type::LUPD()']]],
['lutctrl_5406',['LUTCTRL',['../structCcl.html#a824d62e4b08c0eca3a8a4ead15675f53',1,'Ccl']]],
['lutei_5407',['LUTEI',['../unionCCL__LUTCTRL__Type.html#aff9ad7a92387c4d9cde5cd34afa0e01b',1,'CCL_LUTCTRL_Type']]],
['luteo_5408',['LUTEO',['../unionCCL__LUTCTRL__Type.html#a4e5da56f493aeb3de2f1ae3e518565ec',1,'CCL_LUTCTRL_Type']]],
['lvlen_5409',['LVLEN',['../unionDMAC__CTRL__Type.html#afa338da1c33a9893d0b00c0738e58044',1,'DMAC_CTRL_Type']]],
['lvlen0_5410',['LVLEN0',['../unionDMAC__CTRL__Type.html#a336af32f2c541739ed69878ea8c1e01d',1,'DMAC_CTRL_Type']]],
['lvlen1_5411',['LVLEN1',['../unionDMAC__CTRL__Type.html#a7a347b9054a91c597c08d1e706f39031',1,'DMAC_CTRL_Type']]],
['lvlen2_5412',['LVLEN2',['../unionDMAC__CTRL__Type.html#a362dc59a461a7a2be57fd93dcaeddd6d',1,'DMAC_CTRL_Type']]],
['lvlen3_5413',['LVLEN3',['../unionDMAC__CTRL__Type.html#af222eba1358807c0f029a2a708dcecdc',1,'DMAC_CTRL_Type']]],
['lvlex_5414',['LVLEX',['../unionDMAC__ACTIVE__Type.html#aaa903aec0bf13ec973f480a72194080c',1,'DMAC_ACTIVE_Type']]],
['lvlex0_5415',['LVLEX0',['../unionDMAC__ACTIVE__Type.html#aa1a55ae30a5691d1793ab5c021e132bf',1,'DMAC_ACTIVE_Type']]],
['lvlex1_5416',['LVLEX1',['../unionDMAC__ACTIVE__Type.html#a188ab489f03011527a8152464827dd7c',1,'DMAC_ACTIVE_Type']]],
['lvlex2_5417',['LVLEX2',['../unionDMAC__ACTIVE__Type.html#aec693efd23e7aafda1939104225fe587',1,'DMAC_ACTIVE_Type']]],
['lvlex3_5418',['LVLEX3',['../unionDMAC__ACTIVE__Type.html#a35b491cddaeefbe5ac2e119f984b4341',1,'DMAC_ACTIVE_Type']]],
['lvlpri0_5419',['LVLPRI0',['../unionDMAC__PRICTRL0__Type.html#a9a7fd0fa28637ad395c4d2922bed75a7',1,'DMAC_PRICTRL0_Type']]],
['lvlpri1_5420',['LVLPRI1',['../unionDMAC__PRICTRL0__Type.html#aa463935feae688e4bc5d861d49e1db3a',1,'DMAC_PRICTRL0_Type']]],
['lvlpri2_5421',['LVLPRI2',['../unionDMAC__PRICTRL0__Type.html#a8133704316e058dffb2769ea6b316966',1,'DMAC_PRICTRL0_Type']]],
['lvlpri3_5422',['LVLPRI3',['../unionDMAC__PRICTRL0__Type.html#a0e7c516f2c933c7699c8e04fcd9ab3c7',1,'DMAC_PRICTRL0_Type']]]
['l1resume_5392',['L1RESUME',['../unionUSB__HOST__CTRLB__Type.html#ab9f6096d3316ad185c305f563008ddc5',1,'USB_HOST_CTRLB_Type']]],
['lastxfer_5393',['LASTXFER',['../unionQSPI__CTRLA__Type.html#ae7ec0782952fbc3348b26e0560f08845',1,'QSPI_CTRLA_Type']]],
['lbck_5394',['LBCK',['../unionCAN__TEST__Type.html#af4948029295b486c8864c9a817a0ad25',1,'CAN_TEST_Type']]],
['lbl_5395',['LBL',['../unionGMAC__NCR__Type.html#a5122020b26212980a28c01e2caaaf171',1,'GMAC_NCR_Type']]],
['lbypass_5396',['LBYPASS',['../unionOSCCTRL__DPLLCTRLB__Type.html#a8437737759df6317fad5a28fc58b3148',1,'OSCCTRL_DPLLCTRLB_Type']]],
['lc_5397',['LC',['../structGmac.html#abd58dcc8bfcbf7b4355fea78e86fdd7a',1,'Gmac']]],
['lckdown_5398',['LCKDOWN',['../unionCMCC__TYPE__Type.html#af2aeea986c35297b98beb63c1f48b93f',1,'CMCC_TYPE_Type']]],
['lckway_5399',['LCKWAY',['../unionCMCC__LCKWAY__Type.html#aab6d6f12b17ed73e34ae768ff0564478',1,'CMCC_LCKWAY_Type::LCKWAY()'],['../structCmcc.html#a8f4925ba24d719ab190d4bf241922f0a',1,'Cmcc::LCKWAY()']]],
['lcol_5400',['LCOL',['../unionGMAC__LC__Type.html#a8764f8051c5b5e579c6b2a4bd371cf20',1,'GMAC_LC_Type']]],
['ldr_5401',['LDR',['../unionOSCCTRL__DPLLRATIO__Type.html#a944904ee3e32c3f797efade57712548d',1,'OSCCTRL_DPLLRATIO_Type']]],
['ldrfrac_5402',['LDRFRAC',['../unionOSCCTRL__DPLLRATIO__Type.html#a4be4a53e3e873744e880b775b1059e98',1,'OSCCTRL_DPLLRATIO_Type']]],
['lec_5403',['LEC',['../unionCAN__PSR__Type.html#aae193b937fe6e78de2f80d9eab1494d3',1,'CAN_PSR_Type']]],
['ledctrl_5404',['LEDCTRL',['../unionSDHC__HC1R__Type.html#a6e95f002fbf8959ddfcf75d02f43e3fb',1,'SDHC_HC1R_Type']]],
['leftadj_5405',['LEFTADJ',['../unionADC__CTRLB__Type.html#a8a7540f76cb3eeb2321d1409d0c3c03c',1,'ADC_CTRLB_Type::LEFTADJ()'],['../unionDAC__DACCTRL__Type.html#ad065c50db4f793eb52702331e932e24b',1,'DAC_DACCTRL_Type::LEFTADJ()']]],
['len_5406',['LEN',['../unionSERCOM__I2CS__LENGTH__Type.html#a57f296eb126c1d2dfe7d3f0897513e45',1,'SERCOM_I2CS_LENGTH_Type::LEN()'],['../unionSERCOM__SPI__LENGTH__Type.html#a046521729cef3fbf048da1f8e9de4018',1,'SERCOM_SPI_LENGTH_Type::LEN()'],['../unionSERCOM__USART__LENGTH__Type.html#a407fde69420d157bc7632b374a470837',1,'SERCOM_USART_LENGTH_Type::LEN()'],['../unionSERCOM__I2CM__ADDR__Type.html#aae86180ed9f26e3d619a90a303959954',1,'SERCOM_I2CM_ADDR_Type::LEN()']]],
['lenen_5407',['LENEN',['../unionSERCOM__I2CS__LENGTH__Type.html#a4c731099da5943b79ed2566d42739abc',1,'SERCOM_I2CS_LENGTH_Type::LENEN()'],['../unionSERCOM__SPI__LENGTH__Type.html#a641b0d24441a525b7a2ef9b1d8ff8949',1,'SERCOM_SPI_LENGTH_Type::LENEN()'],['../unionSERCOM__USART__LENGTH__Type.html#a440cb766040a4a171404decb915e770d',1,'SERCOM_USART_LENGTH_Type::LENEN()'],['../unionSERCOM__I2CM__ADDR__Type.html#a5b0e5a2e5fe8837616a169b02a56126a',1,'SERCOM_I2CM_ADDR_Type::LENEN()']]],
['lenerr_5408',['LENERR',['../unionSERCOM__I2CM__STATUS__Type.html#a9fd1dd7958cadcf7d8e0e1bcab3da63f',1,'SERCOM_I2CM_STATUS_Type::LENERR()'],['../unionSERCOM__I2CS__STATUS__Type.html#a64f443951bbed302de6b95fc0e952d2f',1,'SERCOM_I2CS_STATUS_Type::LENERR()'],['../unionSERCOM__SPI__STATUS__Type.html#a77fb88a6858c923c45a45d02e61fec38',1,'SERCOM_SPI_STATUS_Type::LENERR()']]],
['length_5409',['LENGTH',['../unionDSU__LENGTH__Type.html#adadf43167c8c012916cd60e1916a93f3',1,'DSU_LENGTH_Type::LENGTH()'],['../structDsu.html#af13eeff822c0542965939b8a078bc496',1,'Dsu::LENGTH()'],['../unionSERCOM__I2CM__SYNCBUSY__Type.html#aa3f89d176812e8b74cc55313dc5bd237',1,'SERCOM_I2CM_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__I2CS__SYNCBUSY__Type.html#a2dab6a7bc86f72ce11f3210affb68820',1,'SERCOM_I2CS_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__SPI__SYNCBUSY__Type.html#ac3d6deaf13b29af7fd8c5f8fd16abe1a',1,'SERCOM_SPI_SYNCBUSY_Type::LENGTH()'],['../unionSERCOM__USART__SYNCBUSY__Type.html#a2a029c4c54101abdc9fe5038c5478b20',1,'SERCOM_USART_SYNCBUSY_Type::LENGTH()'],['../structSercomI2cs.html#ac3caf69661f254afccbfc12eb6c498c5',1,'SercomI2cs::LENGTH()'],['../structSercomSpi.html#a18f51e8281c8163249bd8f38fc47a65b',1,'SercomSpi::LENGTH()'],['../structSercomUsart.html#afaebddcec542423a7404759bf2793e1e',1,'SercomUsart::LENGTH()']]],
['level_5410',['LEVEL',['../unionSUPC__BOD33__Type.html#a1c6203ee8c716b16b286d200b6a0b1d0',1,'SUPC_BOD33_Type']]],
['lfer_5411',['LFER',['../unionGMAC__LFFE__Type.html#a4e4b895da1f524d750e59b1b4d8af439',1,'GMAC_LFFE_Type']]],
['lferd_5412',['LFERD',['../unionGMAC__NCFGR__Type.html#a71ecaf93a385a3c847c73046afb3d42b',1,'GMAC_NCFGR_Type']]],
['lffe_5413',['LFFE',['../structGmac.html#a109022aaee9364c2d405b0ee5f579f8d',1,'Gmac']]],
['lincmd_5414',['LINCMD',['../unionSERCOM__USART__CTRLB__Type.html#a192378757774495537b221198f2a5c4d',1,'SERCOM_USART_CTRLB_Type']]],
['linestate_5415',['LINESTATE',['../unionUSB__DEVICE__STATUS__Type.html#a421c10b69ab41439af333f5d15188ca7',1,'USB_DEVICE_STATUS_Type::LINESTATE()'],['../unionUSB__HOST__STATUS__Type.html#aa10767637f90d7108679ded740607b9b',1,'USB_HOST_STATUS_Type::LINESTATE()']]],
['link_5416',['LINK',['../structPicop.html#a13f70fef9f202e157ba106f2c56eb4e1',1,'Picop']]],
['llaw_5417',['LLAW',['../unionOSCCTRL__DFLLCTRLB__Type.html#ad0a1e5bfed4cf31a29a2118558a69df3',1,'OSCCTRL_DFLLCTRLB_Type']]],
['lmis_5418',['LMIS',['../unionSDHC__AESR__Type.html#afadea9cd66ca591673c9bc723bd9943c',1,'SDHC_AESR_Type']]],
['load_5419',['LOAD',['../unionNVMCTRL__STATUS__Type.html#adafdfedd11c1fb246fa0642d6c125578',1,'NVMCTRL_STATUS_Type::LOAD()'],['../unionNVMCTRL__SEESTAT__Type.html#a77b7c3a60a7e5826a52ced534b7c00eb',1,'NVMCTRL_SEESTAT_Type::LOAD()']]],
['lock_5420',['LOCK',['../unionNVMCTRL__SEESTAT__Type.html#a791378bb2119e491f523677789f61ae8',1,'NVMCTRL_SEESTAT_Type::LOCK()'],['../unionOSCCTRL__DPLLSTATUS__Type.html#af5f426c6336b83fedaaa8b196c81617d',1,'OSCCTRL_DPLLSTATUS_Type::LOCK()']]],
['locke_5421',['LOCKE',['../unionNVMCTRL__INTENCLR__Type.html#ad93e68e0100ba0b239128b23b3eab541',1,'NVMCTRL_INTENCLR_Type::LOCKE()'],['../unionNVMCTRL__INTENSET__Type.html#a7a3225bbceed8f691b9d2815e3cead70',1,'NVMCTRL_INTENSET_Type::LOCKE()'],['../unionNVMCTRL__INTFLAG__Type.html#a5a443103568d0046dc08455295d84a97',1,'NVMCTRL_INTFLAG_Type::LOCKE()']]],
['lod_5422',['LOD',['../unionAES__CTRLA__Type.html#a1f00bd81b78e62d92ac19a6df42ea176',1,'AES_CTRLA_Type']]],
['loopen_5423',['LOOPEN',['../unionQSPI__CTRLB__Type.html#a35329ec65e15a45e4024ff0a98e67264',1,'QSPI_CTRLB_Type']]],
['lowbufgain_5424',['LOWBUFGAIN',['../unionOSCCTRL__XOSCCTRL__Type.html#afd9cb159dfb70f9f6719438541152b7d',1,'OSCCTRL_XOSCCTRL_Type']]],
['lowtout_5425',['LOWTOUT',['../unionSERCOM__I2CM__STATUS__Type.html#a71e26cd79a0a3541d5aa32865054cc30',1,'SERCOM_I2CM_STATUS_Type::LOWTOUT()'],['../unionSERCOM__I2CS__STATUS__Type.html#a2d584073ab7f73b9b518b55d442a071a',1,'SERCOM_I2CS_STATUS_Type::LOWTOUT()']]],
['lowtouten_5426',['LOWTOUTEN',['../unionSERCOM__I2CM__CTRLA__Type.html#ad06ee60b365fbca41cc2bcc7a07272ef',1,'SERCOM_I2CM_CTRLA_Type::LOWTOUTEN()'],['../unionSERCOM__I2CS__CTRLA__Type.html#abce98fdc3234d8ceb822211b739fe5c7',1,'SERCOM_I2CS_CTRLA_Type::LOWTOUTEN()']]],
['lpi_5427',['LPI',['../unionGMAC__NCR__Type.html#a57211af962f24b1a9bbc79ce44510cb7',1,'GMAC_NCR_Type']]],
['lpmhdsk_5428',['LPMHDSK',['../unionUSB__DEVICE__CTRLB__Type.html#ae420ea2fe6b7be98fedc34581aac9ca4',1,'USB_DEVICE_CTRLB_Type']]],
['lpmnyet_5429',['LPMNYET',['../unionUSB__DEVICE__INTENCLR__Type.html#a5b793ed404a85728f639e8a24820e46c',1,'USB_DEVICE_INTENCLR_Type::LPMNYET()'],['../unionUSB__DEVICE__INTENSET__Type.html#a26193ac2e578902dd31b501e3a7801d6',1,'USB_DEVICE_INTENSET_Type::LPMNYET()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a21cfd874d4fd8199e36fe3c919c02193',1,'USB_DEVICE_INTFLAG_Type::LPMNYET()']]],
['lpmsusp_5430',['LPMSUSP',['../unionUSB__DEVICE__INTENCLR__Type.html#a28fdae1cb69bc91b90e34334a5edfb28',1,'USB_DEVICE_INTENCLR_Type::LPMSUSP()'],['../unionUSB__DEVICE__INTENSET__Type.html#ad970b778fceb5d5cb7ed0bbac4c67fd9',1,'USB_DEVICE_INTENSET_Type::LPMSUSP()'],['../unionUSB__DEVICE__INTFLAG__Type.html#a90a0caaeaae77a5ffa0130609406bda2',1,'USB_DEVICE_INTFLAG_Type::LPMSUSP()']]],
['lqos_5431',['LQOS',['../unionDSU__CFG__Type.html#a1707b3b281a6dfc43f5b9413a63b3876',1,'DSU_CFG_Type']]],
['lru0_5432',['LRU0',['../unionPICOP__ICACHELRU__Type.html#ae0bef1fe8c3f1fa2267a81335b973baf',1,'PICOP_ICACHELRU_Type']]],
['lru1_5433',['LRU1',['../unionPICOP__ICACHELRU__Type.html#a01c826920551516088322af82e8576ba',1,'PICOP_ICACHELRU_Type']]],
['lru2_5434',['LRU2',['../unionPICOP__ICACHELRU__Type.html#a89980b4fdf1f28b59b80ec724d359e54',1,'PICOP_ICACHELRU_Type']]],
['lru3_5435',['LRU3',['../unionPICOP__ICACHELRU__Type.html#aa7179a0cac135166b9a466c4cf3b7851',1,'PICOP_ICACHELRU_Type']]],
['lsbtir_5436',['LSBTIR',['../unionGMAC__TISUBN__Type.html#a791ed8c224f11204737c20293fe1e033',1,'GMAC_TISUBN_Type']]],
['lse_5437',['LSE',['../unionCAN__XIDFC__Type.html#a39d9d02083f6745f34e7f38770bc5d5d',1,'CAN_XIDFC_Type']]],
['lss_5438',['LSS',['../unionCAN__SIDFC__Type.html#a1c605eec94cab2498dd6cc818eeb26b4',1,'CAN_SIDFC_Type']]],
['ltime_5439',['LTIME',['../unionOSCCTRL__DPLLCTRLB__Type.html#ad34f88eb6a301714b9ecbc6b8259c1d8',1,'OSCCTRL_DPLLCTRLB_Type']]],
['lupd_5440',['LUPD',['../unionPDEC__CTRLBCLR__Type.html#adf3de57497ed33de6b5dcd46a7910e58',1,'PDEC_CTRLBCLR_Type::LUPD()'],['../unionPDEC__CTRLBSET__Type.html#a98dee966bb7bb054e62490482b9e3431',1,'PDEC_CTRLBSET_Type::LUPD()'],['../unionTC__CTRLBCLR__Type.html#a35a0aa5d9019ebe931c9092c174d6ff8',1,'TC_CTRLBCLR_Type::LUPD()'],['../unionTC__CTRLBSET__Type.html#a7a388c9b721adc744cfb0b5bb47c67b6',1,'TC_CTRLBSET_Type::LUPD()'],['../unionTCC__CTRLBCLR__Type.html#a3a9ab6836188c686fd338e8e492a4f31',1,'TCC_CTRLBCLR_Type::LUPD()'],['../unionTCC__CTRLBSET__Type.html#aee7f2a51f947f0fa725e854609cebb2b',1,'TCC_CTRLBSET_Type::LUPD()']]],
['lutctrl_5441',['LUTCTRL',['../structCcl.html#a824d62e4b08c0eca3a8a4ead15675f53',1,'Ccl']]],
['lutei_5442',['LUTEI',['../unionCCL__LUTCTRL__Type.html#aff9ad7a92387c4d9cde5cd34afa0e01b',1,'CCL_LUTCTRL_Type']]],
['luteo_5443',['LUTEO',['../unionCCL__LUTCTRL__Type.html#a4e5da56f493aeb3de2f1ae3e518565ec',1,'CCL_LUTCTRL_Type']]],
['lvlen_5444',['LVLEN',['../unionDMAC__CTRL__Type.html#afa338da1c33a9893d0b00c0738e58044',1,'DMAC_CTRL_Type']]],
['lvlen0_5445',['LVLEN0',['../unionDMAC__CTRL__Type.html#a336af32f2c541739ed69878ea8c1e01d',1,'DMAC_CTRL_Type']]],
['lvlen1_5446',['LVLEN1',['../unionDMAC__CTRL__Type.html#a7a347b9054a91c597c08d1e706f39031',1,'DMAC_CTRL_Type']]],
['lvlen2_5447',['LVLEN2',['../unionDMAC__CTRL__Type.html#a362dc59a461a7a2be57fd93dcaeddd6d',1,'DMAC_CTRL_Type']]],
['lvlen3_5448',['LVLEN3',['../unionDMAC__CTRL__Type.html#af222eba1358807c0f029a2a708dcecdc',1,'DMAC_CTRL_Type']]],
['lvlex_5449',['LVLEX',['../unionDMAC__ACTIVE__Type.html#aaa903aec0bf13ec973f480a72194080c',1,'DMAC_ACTIVE_Type']]],
['lvlex0_5450',['LVLEX0',['../unionDMAC__ACTIVE__Type.html#aa1a55ae30a5691d1793ab5c021e132bf',1,'DMAC_ACTIVE_Type']]],
['lvlex1_5451',['LVLEX1',['../unionDMAC__ACTIVE__Type.html#a188ab489f03011527a8152464827dd7c',1,'DMAC_ACTIVE_Type']]],
['lvlex2_5452',['LVLEX2',['../unionDMAC__ACTIVE__Type.html#aec693efd23e7aafda1939104225fe587',1,'DMAC_ACTIVE_Type']]],
['lvlex3_5453',['LVLEX3',['../unionDMAC__ACTIVE__Type.html#a35b491cddaeefbe5ac2e119f984b4341',1,'DMAC_ACTIVE_Type']]],
['lvlpri0_5454',['LVLPRI0',['../unionDMAC__PRICTRL0__Type.html#a9a7fd0fa28637ad395c4d2922bed75a7',1,'DMAC_PRICTRL0_Type']]],
['lvlpri1_5455',['LVLPRI1',['../unionDMAC__PRICTRL0__Type.html#aa463935feae688e4bc5d861d49e1db3a',1,'DMAC_PRICTRL0_Type']]],
['lvlpri2_5456',['LVLPRI2',['../unionDMAC__PRICTRL0__Type.html#a8133704316e058dffb2769ea6b316966',1,'DMAC_PRICTRL0_Type']]],
['lvlpri3_5457',['LVLPRI3',['../unionDMAC__PRICTRL0__Type.html#a0e7c516f2c933c7699c8e04fcd9ab3c7',1,'DMAC_PRICTRL0_Type']]]
];

@ -1,243 +1,243 @@
var searchData=
[
['mag_5423',['MAG',['../unionGMAC__WOL__Type.html#ae7a214a58a64bf6716f7e1fe97044297',1,'GMAC_WOL_Type']]],
['main_2ec_5424',['main.c',['../main_8c.html',1,'']]],
['maint0_5425',['MAINT0',['../structCmcc.html#aa33ce67fcc1e3cb34a8bff05455be247',1,'Cmcc']]],
['maint1_5426',['MAINT1',['../structCmcc.html#a76bb07832821d65765bbddecd48b047f',1,'Cmcc']]],
['man_5427',['MAN',['../structGmac.html#a996630e20e130021c0273162eb90d32b',1,'Gmac']]],
['mapuexcept_5428',['MAPUEXCEPT',['../unionPICOP__CTRL__Type.html#afa4a4be35f5c57ea71bba33b19d5dc36',1,'PICOP_CTRL_Type']]],
['marret_5429',['MARRET',['../unionPICOP__CONFIG__Type.html#a81d3a03e6627dfa7bc9f97ede14666e3',1,'PICOP_CONFIG_Type']]],
['mask_5430',['MASK',['../unionRTC__MODE2__SYNCBUSY__Type.html#a96b30bc43321b3d6723da728f7fb1392',1,'RTC_MODE2_SYNCBUSY_Type::MASK()'],['../structRtcMode2Alarm.html#a3e9f8006b7ca5afd9fc6c381e78de32b',1,'RtcMode2Alarm::MASK()']]],
['mask0_5431',['MASK0',['../unionRTC__MODE2__SYNCBUSY__Type.html#aa73faa1d59be63978b1f4d490e295ec9',1,'RTC_MODE2_SYNCBUSY_Type']]],
['mask1_5432',['MASK1',['../unionRTC__MODE2__SYNCBUSY__Type.html#a654ebd32026fadceda0315f3a4002aba',1,'RTC_MODE2_SYNCBUSY_Type']]],
['matchclr_5433',['MATCHCLR',['../unionRTC__MODE0__CTRLA__Type.html#a2d913873fdc6b559e777b3c421f030e5',1,'RTC_MODE0_CTRLA_Type::MATCHCLR()'],['../unionRTC__MODE2__CTRLA__Type.html#ae9d2bdf84f758d296e3399223182d2b2',1,'RTC_MODE2_CTRLA_Type::MATCHCLR()']]],
['maxblkl_5434',['MAXBLKL',['../unionSDHC__CA0R__Type.html#a86354752832c59a455bc062a791faea8',1,'SDHC_CA0R_Type']]],
['maxcmp_5435',['MAXCMP',['../unionPDEC__CTRLA__Type.html#add201539601b478030ef95fcecf34dd5',1,'PDEC_CTRLA_Type']]],
['maxcur18v_5436',['MAXCUR18V',['../unionSDHC__MCCAR__Type.html#a9fc09f2acc9f743526bc4581cfc1b03c',1,'SDHC_MCCAR_Type']]],
['maxcur30v_5437',['MAXCUR30V',['../unionSDHC__MCCAR__Type.html#acc735263088130ed8cb53825a6711c26',1,'SDHC_MCCAR_Type']]],
['maxcur33v_5438',['MAXCUR33V',['../unionSDHC__MCCAR__Type.html#ac9a7e66730ba066d57993be5bbab2179',1,'SDHC_MCCAR_Type']]],
['maxfs_5439',['MAXFS',['../unionGMAC__NCFGR__Type.html#a5bf5d6a840a85cd1eeb6a264491fc84f',1,'GMAC_NCFGR_Type']]],
['maxiter_5440',['MAXITER',['../unionSERCOM__USART__CTRLC__Type.html#abf619f85059c58a9a2e509622bc49d04',1,'SERCOM_USART_CTRLC_Type']]],
['mb_5441',['MB',['../unionSERCOM__I2CM__INTENCLR__Type.html#a9ef4edf2cd19427cf7b3059430f6351b',1,'SERCOM_I2CM_INTENCLR_Type::MB()'],['../unionSERCOM__I2CM__INTENSET__Type.html#a653b98b3ceb66d55d57b8474014aada2',1,'SERCOM_I2CM_INTENSET_Type::MB()'],['../unionSERCOM__I2CM__INTFLAG__Type.html#a336760053ed0a03cff15e4b3582dce3c',1,'SERCOM_I2CM_INTFLAG_Type::MB()']]],
['mbist_5442',['MBIST',['../unionDSU__CTRL__Type.html#a0b11d4226d2417422ef909e10ed17bc9',1,'DSU_CTRL_Type']]],
['mc_5443',['MC',['../unionPDEC__INTENCLR__Type.html#acb55227447926accad81bc2f8b053e92',1,'PDEC_INTENCLR_Type::MC()'],['../unionPDEC__INTENSET__Type.html#ad8a95c127da961c32a47f201bc8a41fa',1,'PDEC_INTENSET_Type::MC()'],['../unionPDEC__INTFLAG__Type.html#a7314d3723063fece0ce804bff2e9a9f0',1,'PDEC_INTFLAG_Type::MC()'],['../unionTC__INTENCLR__Type.html#a435e4cb22b1cfea875b81e1d060f9aeb',1,'TC_INTENCLR_Type::MC()'],['../unionTC__INTENSET__Type.html#a618f24b2152f836d265157facec84ce0',1,'TC_INTENSET_Type::MC()'],['../unionTC__INTFLAG__Type.html#abcf0bb12daf3880c74765780d339fe1a',1,'TC_INTFLAG_Type::MC()'],['../unionTCC__INTENCLR__Type.html#a3115b7d0a309a1eb888fa8eee3e89587',1,'TCC_INTENCLR_Type::MC()'],['../unionTCC__INTENSET__Type.html#ab9bcab889ef30bb96044aabab376e767',1,'TCC_INTENSET_Type::MC()'],['../unionTCC__INTFLAG__Type.html#a2d8b65c238589154684809ce37a65e9b',1,'TCC_INTFLAG_Type::MC()']]],
['mc0_5444',['MC0',['../unionPDEC__INTENCLR__Type.html#ae3be344cb563bc45746c4625b42c3fc1',1,'PDEC_INTENCLR_Type::MC0()'],['../unionPDEC__INTENSET__Type.html#a52ca664c0df1b6f5c548fdbb9b7a97da',1,'PDEC_INTENSET_Type::MC0()'],['../unionPDEC__INTFLAG__Type.html#a02f55a909c0c7c2545370b26737cb5f7',1,'PDEC_INTFLAG_Type::MC0()'],['../unionTC__INTENCLR__Type.html#ac3bce0f18fdc48960b8cb9a59f43897d',1,'TC_INTENCLR_Type::MC0()'],['../unionTC__INTENSET__Type.html#a5d2f643da9ab8e4babbcf5b37e33d95e',1,'TC_INTENSET_Type::MC0()'],['../unionTC__INTFLAG__Type.html#a3051c051d8c9a1841f93b398e15715de',1,'TC_INTFLAG_Type::MC0()'],['../unionTCC__INTENCLR__Type.html#aed23b70b82b1fb9b47583387bafa4b38',1,'TCC_INTENCLR_Type::MC0()'],['../unionTCC__INTENSET__Type.html#a156394693aaae0d2623aebd213daae6f',1,'TCC_INTENSET_Type::MC0()'],['../unionTCC__INTFLAG__Type.html#a8b3443e0e2fae5344ee2d6b0fbff15e8',1,'TCC_INTFLAG_Type::MC0()']]],
['mc1_5445',['MC1',['../unionPDEC__INTENCLR__Type.html#a215dbae3b32d69108e5a312be3ec2c89',1,'PDEC_INTENCLR_Type::MC1()'],['../unionPDEC__INTENSET__Type.html#ab38fcba7b0aa0cf1c8117235faf13c5f',1,'PDEC_INTENSET_Type::MC1()'],['../unionPDEC__INTFLAG__Type.html#a8f5b9f6b0aeead92bcf554506debdde8',1,'PDEC_INTFLAG_Type::MC1()'],['../unionTC__INTENCLR__Type.html#a4cef0975ae53be099c646b5cab414ce2',1,'TC_INTENCLR_Type::MC1()'],['../unionTC__INTENSET__Type.html#abdb3b3352fdac1174dd944a99c6faabe',1,'TC_INTENSET_Type::MC1()'],['../unionTC__INTFLAG__Type.html#aa34d4b32afa47a0e539676998eeac8b2',1,'TC_INTFLAG_Type::MC1()'],['../unionTCC__INTENCLR__Type.html#a5d8a106a385ab3b34a4c0d891fe45090',1,'TCC_INTENCLR_Type::MC1()'],['../unionTCC__INTENSET__Type.html#ac7bad345418f1dea90599b6e498483ca',1,'TCC_INTENSET_Type::MC1()'],['../unionTCC__INTFLAG__Type.html#a9e29270d728143461b7e1a6dd7519c9c',1,'TCC_INTFLAG_Type::MC1()']]],
['mc1r_5446',['MC1R',['../structSdhc.html#ad825e5d75852893a9591060235dea33d',1,'Sdhc']]],
['mc2_5447',['MC2',['../unionTCC__INTENCLR__Type.html#a2d8932501daab5264124bbbd22a58c6b',1,'TCC_INTENCLR_Type::MC2()'],['../unionTCC__INTENSET__Type.html#a4aa8f3e6e03e2596403740dab34b38b3',1,'TCC_INTENSET_Type::MC2()'],['../unionTCC__INTFLAG__Type.html#a29f0e9a3ebefc0245d2e84d532e7d4e1',1,'TCC_INTFLAG_Type::MC2()']]],
['mc2r_5448',['MC2R',['../structSdhc.html#a7f7b113ddba11bcd5d6c66283a06a616',1,'Sdhc']]],
['mc3_5449',['MC3',['../unionTCC__INTENCLR__Type.html#a163d420f51e19cf42ad557c4e0f8443c',1,'TCC_INTENCLR_Type::MC3()'],['../unionTCC__INTENSET__Type.html#a8e5660ab399865238c54a3df3cdef29b',1,'TCC_INTENSET_Type::MC3()'],['../unionTCC__INTFLAG__Type.html#a936eff8f31a141af051ef9c2f081e07e',1,'TCC_INTFLAG_Type::MC3()']]],
['mc4_5450',['MC4',['../unionTCC__INTENCLR__Type.html#af55fdf9fb5b2e27d16ccb15cb4769cff',1,'TCC_INTENCLR_Type::MC4()'],['../unionTCC__INTENSET__Type.html#af2ae569829d9d06a9fcc1937e08030ac',1,'TCC_INTENSET_Type::MC4()'],['../unionTCC__INTFLAG__Type.html#ac6fed58a8cb84e3ef3a6261e600249df',1,'TCC_INTFLAG_Type::MC4()']]],
['mc5_5451',['MC5',['../unionTCC__INTENCLR__Type.html#aa47eb6f213a55cac4dee8d0cda1e36a5',1,'TCC_INTENCLR_Type::MC5()'],['../unionTCC__INTENSET__Type.html#a89d1bb2cd9d533c4994475844fcdc114',1,'TCC_INTENSET_Type::MC5()'],['../unionTCC__INTFLAG__Type.html#add5cd537ca2c105dd5ad100268c3728d',1,'TCC_INTFLAG_Type::MC5()']]],
['mccar_5452',['MCCAR',['../structSdhc.html#afff46b235f0390e6b361b0ac08c4131a',1,'Sdhc']]],
['mcei_5453',['MCEI',['../unionTCC__EVCTRL__Type.html#aac02140d5d9dd26e767abd5e2f20642a',1,'TCC_EVCTRL_Type']]],
['mcei0_5454',['MCEI0',['../unionTCC__EVCTRL__Type.html#abfdd37dfbf186303413125f2b1eb5e3e',1,'TCC_EVCTRL_Type']]],
['mcei1_5455',['MCEI1',['../unionTCC__EVCTRL__Type.html#a0e2b8d1907fecb9e45d5fd63b2232f4a',1,'TCC_EVCTRL_Type']]],
['mcei2_5456',['MCEI2',['../unionTCC__EVCTRL__Type.html#ae486dd866460b5f624a3d1d5f59a6c6c',1,'TCC_EVCTRL_Type']]],
['mcei3_5457',['MCEI3',['../unionTCC__EVCTRL__Type.html#a11d03906c2c2e764aab96c00e9492213',1,'TCC_EVCTRL_Type']]],
['mcei4_5458',['MCEI4',['../unionTCC__EVCTRL__Type.html#a295ac0ed64bd90785d984cf51c7f9f30',1,'TCC_EVCTRL_Type']]],
['mcei5_5459',['MCEI5',['../unionTCC__EVCTRL__Type.html#a58f296f2ab7f5560c24fa8931e49e655',1,'TCC_EVCTRL_Type']]],
['mceo_5460',['MCEO',['../unionPDEC__EVCTRL__Type.html#aaf6b59f62cdca6411a084873d2e0f456',1,'PDEC_EVCTRL_Type::MCEO()'],['../unionTC__EVCTRL__Type.html#a8dcd433a01d6524d01444d6acfa50ebf',1,'TC_EVCTRL_Type::MCEO()'],['../unionTCC__EVCTRL__Type.html#ad7867cc2c0dfeac1e63cc5c03eb387cd',1,'TCC_EVCTRL_Type::MCEO()']]],
['mceo0_5461',['MCEO0',['../unionPDEC__EVCTRL__Type.html#a36aabaf7d4fcf3dcdc76c8cce6199eb8',1,'PDEC_EVCTRL_Type::MCEO0()'],['../unionTC__EVCTRL__Type.html#aa46366f771b15a087e965df07dd76a8c',1,'TC_EVCTRL_Type::MCEO0()'],['../unionTCC__EVCTRL__Type.html#afa3ac80cf324c04ec5d68cfa117a8b81',1,'TCC_EVCTRL_Type::MCEO0()']]],
['mceo1_5462',['MCEO1',['../unionPDEC__EVCTRL__Type.html#a21130feb1fe6135ff956f2777701a47d',1,'PDEC_EVCTRL_Type::MCEO1()'],['../unionTC__EVCTRL__Type.html#a8ec95d3e42528187b2b9da3f4db4857b',1,'TC_EVCTRL_Type::MCEO1()'],['../unionTCC__EVCTRL__Type.html#a563f03afa699cb8029613548cb10d213',1,'TCC_EVCTRL_Type::MCEO1()']]],
['mceo2_5463',['MCEO2',['../unionTCC__EVCTRL__Type.html#abfc33a94a62d8aa02849ca770b8558dd',1,'TCC_EVCTRL_Type']]],
['mceo3_5464',['MCEO3',['../unionTCC__EVCTRL__Type.html#a3ad5ac4cda06e19d725c6f43c2661f6e',1,'TCC_EVCTRL_Type']]],
['mceo4_5465',['MCEO4',['../unionTCC__EVCTRL__Type.html#aa334ad827cd39423292cee6f1138beaa',1,'TCC_EVCTRL_Type']]],
['mceo5_5466',['MCEO5',['../unionTCC__EVCTRL__Type.html#a3b0e168393337e367ead98b56592f9c3',1,'TCC_EVCTRL_Type']]],
['mcf_5467',['MCF',['../structGmac.html#a82f49bbba196b7c879e8df4f7bed9a04',1,'Gmac']]],
['mcfg_5468',['MCFG',['../structCmcc.html#a1304e940b4556471b4a722b67b165a8b',1,'Cmcc']]],
['mckdiv_5469',['MCKDIV',['../unionI2S__CLKCTRL__Type.html#a8554d0ed509ed66ffd0805b4e6fef8ec',1,'I2S_CLKCTRL_Type']]],
['mcken_5470',['MCKEN',['../unionI2S__CLKCTRL__Type.html#a1d649efc7bf50df4a086a3f50f6f9622',1,'I2S_CLKCTRL_Type']]],
['mckoutdiv_5471',['MCKOUTDIV',['../unionI2S__CLKCTRL__Type.html#a39a27f504a2d3fccb6c99256ed503bad',1,'I2S_CLKCTRL_Type']]],
['mckoutinv_5472',['MCKOUTINV',['../unionI2S__CLKCTRL__Type.html#a36287a0c836b016a982812315d96efb1',1,'I2S_CLKCTRL_Type']]],
['mcksel_5473',['MCKSEL',['../unionI2S__CLKCTRL__Type.html#a9bad3367378b1be0a091b020a97cdb90',1,'I2S_CLKCTRL_Type']]],
['mclk_5474',['Mclk',['../structMclk.html',1,'Mclk'],['../same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p20a.h']]],
['mclk_2eh_5475',['mclk.h',['../component_2mclk_8h.html',1,'(Global Namespace)'],['../instance_2mclk_8h.html',1,'(Global Namespace)']]],
['mclk_5f_5476',['MCLK_',['../unionMCLK__APBAMASK__Type.html#ab1f2baed5b9ebd2303153ebcbe697d66',1,'MCLK_APBAMASK_Type::MCLK_()'],['../unionPAC__INTFLAGA__Type.html#aab517d8db3c0e8ae6175f01fa838771a',1,'PAC_INTFLAGA_Type::MCLK_()'],['../unionPAC__STATUSA__Type.html#a56c3d6356e4728df39cdf842cd3f5883',1,'PAC_STATUSA_Type::MCLK_()']]],
['mclk_5fahbmask_5fbkupram_5fpos_5477',['MCLK_AHBMASK_BKUPRAM_Pos',['../component_2mclk_8h.html#a51f341be2cd16552a79406a3df9fad31',1,'mclk.h']]],
['mclk_5fahbmask_5fcan0_5fpos_5478',['MCLK_AHBMASK_CAN0_Pos',['../component_2mclk_8h.html#ad5d5cfafc70ebe598b651e3496fcd4a4',1,'mclk.h']]],
['mclk_5fahbmask_5fcan1_5fpos_5479',['MCLK_AHBMASK_CAN1_Pos',['../component_2mclk_8h.html#a361fe28b52db9c858de0926bc72b5985',1,'mclk.h']]],
['mclk_5fahbmask_5fcmcc_5fpos_5480',['MCLK_AHBMASK_CMCC_Pos',['../component_2mclk_8h.html#a5114ee4fb624a1109ca8a35533050c91',1,'mclk.h']]],
['mclk_5fahbmask_5fdmac_5fpos_5481',['MCLK_AHBMASK_DMAC_Pos',['../component_2mclk_8h.html#a9777b9f4e5c6f15597d5d0f3c284a638',1,'mclk.h']]],
['mclk_5fahbmask_5fdsu_5fpos_5482',['MCLK_AHBMASK_DSU_Pos',['../component_2mclk_8h.html#af25c239a7914145d262614e4cea537b3',1,'mclk.h']]],
['mclk_5fahbmask_5fgmac_5fpos_5483',['MCLK_AHBMASK_GMAC_Pos',['../component_2mclk_8h.html#a90a6e6c304da25718d5535909d7765f9',1,'mclk.h']]],
['mclk_5fahbmask_5fhmatrix_5fpos_5484',['MCLK_AHBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#a0c382b9b2b318a564cf9769941fa7373',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb0_5fpos_5485',['MCLK_AHBMASK_HPB0_Pos',['../component_2mclk_8h.html#aab73df1970914c738e069aa3f44343f7',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb1_5fpos_5486',['MCLK_AHBMASK_HPB1_Pos',['../component_2mclk_8h.html#ad500245362fc27f25dbd1cd872403db5',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb2_5fpos_5487',['MCLK_AHBMASK_HPB2_Pos',['../component_2mclk_8h.html#ad85e221b80ccea1b2fb40ccd57361831',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb3_5fpos_5488',['MCLK_AHBMASK_HPB3_Pos',['../component_2mclk_8h.html#a0fde493e6b6f196f2f5097f561c77fa3',1,'mclk.h']]],
['mclk_5fahbmask_5fhsram_5fpos_5489',['MCLK_AHBMASK_HSRAM_Pos',['../component_2mclk_8h.html#a3a610cdaf2a0676797625dee122829f3',1,'mclk.h']]],
['mclk_5fahbmask_5ficm_5fpos_5490',['MCLK_AHBMASK_ICM_Pos',['../component_2mclk_8h.html#a96dc631a8955ba6a803b4a7e0f45456e',1,'mclk.h']]],
['mclk_5fahbmask_5fmask_5491',['MCLK_AHBMASK_MASK',['../component_2mclk_8h.html#a4ff9567101bdb1ceefebec27f64d817f',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fcache_5fpos_5492',['MCLK_AHBMASK_NVMCTRL_CACHE_Pos',['../component_2mclk_8h.html#a433eb3bd2eac386d68dadbeb476c412b',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fpos_5493',['MCLK_AHBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a81becb828c915d5aac7686148213cb7a',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fsmeeprom_5fpos_5494',['MCLK_AHBMASK_NVMCTRL_SMEEPROM_Pos',['../component_2mclk_8h.html#a893beae0d8a30df0cac8ac289280926a',1,'mclk.h']]],
['mclk_5fahbmask_5foffset_5495',['MCLK_AHBMASK_OFFSET',['../component_2mclk_8h.html#af60da772fd92f5ac2d24529a4edd5bcf',1,'mclk.h']]],
['mclk_5fahbmask_5fpac_5fpos_5496',['MCLK_AHBMASK_PAC_Pos',['../component_2mclk_8h.html#a25f30a1fcd43fb869b5594496df8d1f1',1,'mclk.h']]],
['mclk_5fahbmask_5fpukcc_5fpos_5497',['MCLK_AHBMASK_PUKCC_Pos',['../component_2mclk_8h.html#aced4a82f31a9b059bc0ce0372302cfc9',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5f2x_5fpos_5498',['MCLK_AHBMASK_QSPI_2X_Pos',['../component_2mclk_8h.html#addc4877eff69e76ab70162f511744c0b',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5fpos_5499',['MCLK_AHBMASK_QSPI_Pos',['../component_2mclk_8h.html#aadc7e0a4d4a8f204ff028e09185a6dbc',1,'mclk.h']]],
['mclk_5fahbmask_5fresetvalue_5500',['MCLK_AHBMASK_RESETVALUE',['../component_2mclk_8h.html#a7416e817fc3532e446cfae94a314c2c1',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc0_5fpos_5501',['MCLK_AHBMASK_SDHC0_Pos',['../component_2mclk_8h.html#a075eb14056d8b7fedd243795408bf542',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc1_5fpos_5502',['MCLK_AHBMASK_SDHC1_Pos',['../component_2mclk_8h.html#a33f14b34d3d4622e115b84d46bb9207a',1,'mclk.h']]],
['mclk_5fahbmask_5ftype_5503',['MCLK_AHBMASK_Type',['../unionMCLK__AHBMASK__Type.html',1,'']]],
['mclk_5fahbmask_5fusb_5fpos_5504',['MCLK_AHBMASK_USB_Pos',['../component_2mclk_8h.html#a58c4b1c4ee77bdcf758d0e74ec5b5abc',1,'mclk.h']]],
['mclk_5fapbamask_5feic_5fpos_5505',['MCLK_APBAMASK_EIC_Pos',['../component_2mclk_8h.html#a5071841327f5691fad5aaa64928723b4',1,'mclk.h']]],
['mclk_5fapbamask_5ffreqm_5fpos_5506',['MCLK_APBAMASK_FREQM_Pos',['../component_2mclk_8h.html#acf54f7ce88550526bfc9800583a180ae',1,'mclk.h']]],
['mclk_5fapbamask_5fgclk_5fpos_5507',['MCLK_APBAMASK_GCLK_Pos',['../component_2mclk_8h.html#a5fb09fb3c0885c6bf234d8021344b40b',1,'mclk.h']]],
['mclk_5fapbamask_5fmask_5508',['MCLK_APBAMASK_MASK',['../component_2mclk_8h.html#a85274982e658543846410257d8741368',1,'mclk.h']]],
['mclk_5fapbamask_5fmclk_5fpos_5509',['MCLK_APBAMASK_MCLK_Pos',['../component_2mclk_8h.html#a0db8d768734ebf5b9b0c4b32fff4ad02',1,'mclk.h']]],
['mclk_5fapbamask_5foffset_5510',['MCLK_APBAMASK_OFFSET',['../component_2mclk_8h.html#a0c6c90fc226352932e5d81fb51efebc0',1,'mclk.h']]],
['mclk_5fapbamask_5fosc32kctrl_5fpos_5511',['MCLK_APBAMASK_OSC32KCTRL_Pos',['../component_2mclk_8h.html#ac13c0587fd456465accd0efc6e769413',1,'mclk.h']]],
['mclk_5fapbamask_5foscctrl_5fpos_5512',['MCLK_APBAMASK_OSCCTRL_Pos',['../component_2mclk_8h.html#ac3c888b7b8de3be9e61afcf2aff6dff2',1,'mclk.h']]],
['mclk_5fapbamask_5fpac_5fpos_5513',['MCLK_APBAMASK_PAC_Pos',['../component_2mclk_8h.html#a961dc42788c4447477d905b3fa27c039',1,'mclk.h']]],
['mclk_5fapbamask_5fpm_5fpos_5514',['MCLK_APBAMASK_PM_Pos',['../component_2mclk_8h.html#a04293b17854c4a2862117cb1e92e90d9',1,'mclk.h']]],
['mclk_5fapbamask_5fresetvalue_5515',['MCLK_APBAMASK_RESETVALUE',['../component_2mclk_8h.html#a3d96d2b490df3f3164791e72baeabe60',1,'mclk.h']]],
['mclk_5fapbamask_5frstc_5fpos_5516',['MCLK_APBAMASK_RSTC_Pos',['../component_2mclk_8h.html#a23015f90db0192052e8d0a971684fa6e',1,'mclk.h']]],
['mclk_5fapbamask_5frtc_5fpos_5517',['MCLK_APBAMASK_RTC_Pos',['../component_2mclk_8h.html#a29bcfd311e7858237139c52fa164728d',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom0_5fpos_5518',['MCLK_APBAMASK_SERCOM0_Pos',['../component_2mclk_8h.html#a51a1701f5148614ae7068e73e4fa46df',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom1_5fpos_5519',['MCLK_APBAMASK_SERCOM1_Pos',['../component_2mclk_8h.html#ad7c8b0f983278890160b98943182947b',1,'mclk.h']]],
['mclk_5fapbamask_5fsupc_5fpos_5520',['MCLK_APBAMASK_SUPC_Pos',['../component_2mclk_8h.html#a9482e51359fc581f7c605977eb3685c5',1,'mclk.h']]],
['mclk_5fapbamask_5ftc0_5fpos_5521',['MCLK_APBAMASK_TC0_Pos',['../component_2mclk_8h.html#adafd8598fbe6998d741a4db76ae10819',1,'mclk.h']]],
['mclk_5fapbamask_5ftc1_5fpos_5522',['MCLK_APBAMASK_TC1_Pos',['../component_2mclk_8h.html#aadeb489d48264708c10f7d267b8a5365',1,'mclk.h']]],
['mclk_5fapbamask_5ftype_5523',['MCLK_APBAMASK_Type',['../unionMCLK__APBAMASK__Type.html',1,'']]],
['mclk_5fapbamask_5fwdt_5fpos_5524',['MCLK_APBAMASK_WDT_Pos',['../component_2mclk_8h.html#a65b51937fbcdf1a5d7418bbfd0cb3a4e',1,'mclk.h']]],
['mclk_5fapbbmask_5fdsu_5fpos_5525',['MCLK_APBBMASK_DSU_Pos',['../component_2mclk_8h.html#a04d6d13ad8ea21c5493c88e22b64900b',1,'mclk.h']]],
['mclk_5fapbbmask_5fevsys_5fpos_5526',['MCLK_APBBMASK_EVSYS_Pos',['../component_2mclk_8h.html#acfef8c4d439e6c9c2474a3dba130a9ee',1,'mclk.h']]],
['mclk_5fapbbmask_5fhmatrix_5fpos_5527',['MCLK_APBBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#ac9b7b578f7a12e80c52cb5f536ffbfc6',1,'mclk.h']]],
['mclk_5fapbbmask_5fmask_5528',['MCLK_APBBMASK_MASK',['../component_2mclk_8h.html#a17175a488d06a4b52abab2d654660456',1,'mclk.h']]],
['mclk_5fapbbmask_5fnvmctrl_5fpos_5529',['MCLK_APBBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a00e3c7c7c317a8a1a2e828ed35e38993',1,'mclk.h']]],
['mclk_5fapbbmask_5foffset_5530',['MCLK_APBBMASK_OFFSET',['../component_2mclk_8h.html#a8c35b6e1cf5ad6b7de3361646bc0510f',1,'mclk.h']]],
['mclk_5fapbbmask_5fport_5fpos_5531',['MCLK_APBBMASK_PORT_Pos',['../component_2mclk_8h.html#ae3ed73b717df511384b9192f5ff8a411',1,'mclk.h']]],
['mclk_5fapbbmask_5framecc_5fpos_5532',['MCLK_APBBMASK_RAMECC_Pos',['../component_2mclk_8h.html#a7a77772f140e3aeb2d58634ec4b1b206',1,'mclk.h']]],
['mclk_5fapbbmask_5fresetvalue_5533',['MCLK_APBBMASK_RESETVALUE',['../component_2mclk_8h.html#a7784f1cdd1314b91b3004070e1fc4b8b',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom2_5fpos_5534',['MCLK_APBBMASK_SERCOM2_Pos',['../component_2mclk_8h.html#ae601a75e252448b9b3ac8b7976bc06b4',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom3_5fpos_5535',['MCLK_APBBMASK_SERCOM3_Pos',['../component_2mclk_8h.html#a79d8ab6fd56bcdd7e7b512b076b86846',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc2_5fpos_5536',['MCLK_APBBMASK_TC2_Pos',['../component_2mclk_8h.html#acf6f153dbd6855a2250546f855a8c781',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc3_5fpos_5537',['MCLK_APBBMASK_TC3_Pos',['../component_2mclk_8h.html#a7365f0e61af5bf7f97d074e8f3987d54',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc0_5fpos_5538',['MCLK_APBBMASK_TCC0_Pos',['../component_2mclk_8h.html#a49d92e93709a54e3d7d92f7c14a9cec1',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc1_5fpos_5539',['MCLK_APBBMASK_TCC1_Pos',['../component_2mclk_8h.html#a481a03f2e4cace02a94025c9e0790da5',1,'mclk.h']]],
['mclk_5fapbbmask_5ftype_5540',['MCLK_APBBMASK_Type',['../unionMCLK__APBBMASK__Type.html',1,'']]],
['mclk_5fapbbmask_5fusb_5fpos_5541',['MCLK_APBBMASK_USB_Pos',['../component_2mclk_8h.html#af21d2c3cd0e5edba8c2ad9db99d97a37',1,'mclk.h']]],
['mclk_5fapbcmask_5fac_5fpos_5542',['MCLK_APBCMASK_AC_Pos',['../component_2mclk_8h.html#ae827c5e608f07904b393f4d5fcff1e48',1,'mclk.h']]],
['mclk_5fapbcmask_5faes_5fpos_5543',['MCLK_APBCMASK_AES_Pos',['../component_2mclk_8h.html#afe03bc583599946038f7e2de91be340c',1,'mclk.h']]],
['mclk_5fapbcmask_5fccl_5fpos_5544',['MCLK_APBCMASK_CCL_Pos',['../component_2mclk_8h.html#a4759ecc97b02d665427b2cb1a198e827',1,'mclk.h']]],
['mclk_5fapbcmask_5fgmac_5fpos_5545',['MCLK_APBCMASK_GMAC_Pos',['../component_2mclk_8h.html#aef22e33ee071b1ab1e044ebfec61ecc3',1,'mclk.h']]],
['mclk_5fapbcmask_5ficm_5fpos_5546',['MCLK_APBCMASK_ICM_Pos',['../component_2mclk_8h.html#ac1c36e384d318f08af381e7cf4e0b0f4',1,'mclk.h']]],
['mclk_5fapbcmask_5fmask_5547',['MCLK_APBCMASK_MASK',['../component_2mclk_8h.html#aecd8f6d7a986889c2f43a65a506bd42e',1,'mclk.h']]],
['mclk_5fapbcmask_5foffset_5548',['MCLK_APBCMASK_OFFSET',['../component_2mclk_8h.html#a9adc77e1bade5beba117c02998b80574',1,'mclk.h']]],
['mclk_5fapbcmask_5fpdec_5fpos_5549',['MCLK_APBCMASK_PDEC_Pos',['../component_2mclk_8h.html#a18d7a4cb62e3d42e28bb3d1824cf8898',1,'mclk.h']]],
['mclk_5fapbcmask_5fqspi_5fpos_5550',['MCLK_APBCMASK_QSPI_Pos',['../component_2mclk_8h.html#ab7812fa73c364fea3539d9ac04cf0bf7',1,'mclk.h']]],
['mclk_5fapbcmask_5fresetvalue_5551',['MCLK_APBCMASK_RESETVALUE',['../component_2mclk_8h.html#ad844382addaec4552ab75518ff2d7e74',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc4_5fpos_5552',['MCLK_APBCMASK_TC4_Pos',['../component_2mclk_8h.html#a7c886e1ff64370722c150d44200b66df',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc5_5fpos_5553',['MCLK_APBCMASK_TC5_Pos',['../component_2mclk_8h.html#a0da39e4b06b949c2cbb3e9b54637fb53',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc2_5fpos_5554',['MCLK_APBCMASK_TCC2_Pos',['../component_2mclk_8h.html#a4f871f80238ad9bbb73e2f8656a9e59d',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc3_5fpos_5555',['MCLK_APBCMASK_TCC3_Pos',['../component_2mclk_8h.html#a6be7119f990531600e4f658bd3df0c0a',1,'mclk.h']]],
['mclk_5fapbcmask_5ftrng_5fpos_5556',['MCLK_APBCMASK_TRNG_Pos',['../component_2mclk_8h.html#a398240eb1bf125624011e74222087b4f',1,'mclk.h']]],
['mclk_5fapbcmask_5ftype_5557',['MCLK_APBCMASK_Type',['../unionMCLK__APBCMASK__Type.html',1,'']]],
['mclk_5fapbdmask_5fadc0_5fpos_5558',['MCLK_APBDMASK_ADC0_Pos',['../component_2mclk_8h.html#aaa0f2c5eb74e0b65cc4338f29f2d69c4',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc1_5fpos_5559',['MCLK_APBDMASK_ADC1_Pos',['../component_2mclk_8h.html#aebfa8b7e9a270d649771d8f420fbc2e2',1,'mclk.h']]],
['mclk_5fapbdmask_5fdac_5fpos_5560',['MCLK_APBDMASK_DAC_Pos',['../component_2mclk_8h.html#a2d480786af05fb2fd66e9c85a987f855',1,'mclk.h']]],
['mclk_5fapbdmask_5fi2s_5fpos_5561',['MCLK_APBDMASK_I2S_Pos',['../component_2mclk_8h.html#a7675f312a66e9078d114360abaca0d69',1,'mclk.h']]],
['mclk_5fapbdmask_5fmask_5562',['MCLK_APBDMASK_MASK',['../component_2mclk_8h.html#ad7749cd8272a2d7fdb3faaeaa99e5268',1,'mclk.h']]],
['mclk_5fapbdmask_5foffset_5563',['MCLK_APBDMASK_OFFSET',['../component_2mclk_8h.html#a7a00f8f628fc059b0ffcc36d2343c212',1,'mclk.h']]],
['mclk_5fapbdmask_5fpcc_5fpos_5564',['MCLK_APBDMASK_PCC_Pos',['../component_2mclk_8h.html#ad4290756f92692a42c7bb1cfaf487d0f',1,'mclk.h']]],
['mclk_5fapbdmask_5fresetvalue_5565',['MCLK_APBDMASK_RESETVALUE',['../component_2mclk_8h.html#affe228d4015871770d9c2ac2d3e23349',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom4_5fpos_5566',['MCLK_APBDMASK_SERCOM4_Pos',['../component_2mclk_8h.html#ae97f2a7876a603ea76ee86f5e099c269',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom5_5fpos_5567',['MCLK_APBDMASK_SERCOM5_Pos',['../component_2mclk_8h.html#a0860f3d77409f156d996a776493af3a9',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom6_5fpos_5568',['MCLK_APBDMASK_SERCOM6_Pos',['../component_2mclk_8h.html#a1d520be70a0ed4fca667c58341d99620',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom7_5fpos_5569',['MCLK_APBDMASK_SERCOM7_Pos',['../component_2mclk_8h.html#a7d21e2207d86f11b75eb201011fee80e',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc6_5fpos_5570',['MCLK_APBDMASK_TC6_Pos',['../component_2mclk_8h.html#a5e5067b0ea3b8d950adeee60c7e201ef',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc7_5fpos_5571',['MCLK_APBDMASK_TC7_Pos',['../component_2mclk_8h.html#a6ea8876f7f253392f0aa16da779c4d8b',1,'mclk.h']]],
['mclk_5fapbdmask_5ftcc4_5fpos_5572',['MCLK_APBDMASK_TCC4_Pos',['../component_2mclk_8h.html#a11586f55c55a915e5d5ed0f8986abf5d',1,'mclk.h']]],
['mclk_5fapbdmask_5ftype_5573',['MCLK_APBDMASK_Type',['../unionMCLK__APBDMASK__Type.html',1,'']]],
['mclk_5fcpudiv_5fdiv_5fdiv128_5fval_5574',['MCLK_CPUDIV_DIV_DIV128_Val',['../component_2mclk_8h.html#a4cfc1f32942c736f236075c22f1a4f81',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv16_5fval_5575',['MCLK_CPUDIV_DIV_DIV16_Val',['../component_2mclk_8h.html#ae681abf45271d52e20032f75fde3e7a4',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv1_5fval_5576',['MCLK_CPUDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#ae007ace127ccf52e63918793f78229b6',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv2_5fval_5577',['MCLK_CPUDIV_DIV_DIV2_Val',['../component_2mclk_8h.html#a86452934ee34c2237b4cb0a296bc0734',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv32_5fval_5578',['MCLK_CPUDIV_DIV_DIV32_Val',['../component_2mclk_8h.html#a19e3476ae76abd38b0eac2f62dd7be46',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv4_5fval_5579',['MCLK_CPUDIV_DIV_DIV4_Val',['../component_2mclk_8h.html#a0d458738f455dcb54c5aa2d0ffcfa616',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv64_5fval_5580',['MCLK_CPUDIV_DIV_DIV64_Val',['../component_2mclk_8h.html#aa91c616d0c486e08497d547619896a98',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv8_5fval_5581',['MCLK_CPUDIV_DIV_DIV8_Val',['../component_2mclk_8h.html#a67de4295c7d075c089f0c0280f95002b',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fpos_5582',['MCLK_CPUDIV_DIV_Pos',['../component_2mclk_8h.html#a4e0248a576cb2763bf8271787d56e3d6',1,'mclk.h']]],
['mclk_5fcpudiv_5fmask_5583',['MCLK_CPUDIV_MASK',['../component_2mclk_8h.html#ab671f30e14e4976663447bcdf727ea01',1,'mclk.h']]],
['mclk_5fcpudiv_5foffset_5584',['MCLK_CPUDIV_OFFSET',['../component_2mclk_8h.html#a6b856556e549869e608b1c9d9ca335ff',1,'mclk.h']]],
['mclk_5fcpudiv_5fresetvalue_5585',['MCLK_CPUDIV_RESETVALUE',['../component_2mclk_8h.html#ad5b446415ed860f94d2368945fa4b698',1,'mclk.h']]],
['mclk_5fcpudiv_5ftype_5586',['MCLK_CPUDIV_Type',['../unionMCLK__CPUDIV__Type.html',1,'']]],
['mclk_5fhsdiv_5fdiv_5fdiv1_5fval_5587',['MCLK_HSDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#a119ab5a20e98782d805cdf5c4501e712',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fpos_5588',['MCLK_HSDIV_DIV_Pos',['../component_2mclk_8h.html#a95894d35a451f22d21907122d7459c7c',1,'mclk.h']]],
['mclk_5fhsdiv_5fmask_5589',['MCLK_HSDIV_MASK',['../component_2mclk_8h.html#aaacdcc773f6047747e0c5be7e2f8e617',1,'mclk.h']]],
['mclk_5fhsdiv_5foffset_5590',['MCLK_HSDIV_OFFSET',['../component_2mclk_8h.html#ae0971c09918d1056399fd86900aa4890',1,'mclk.h']]],
['mclk_5fhsdiv_5fresetvalue_5591',['MCLK_HSDIV_RESETVALUE',['../component_2mclk_8h.html#ae6d3e536fec3cc26aaa6cd78c17d497b',1,'mclk.h']]],
['mclk_5fhsdiv_5ftype_5592',['MCLK_HSDIV_Type',['../unionMCLK__HSDIV__Type.html',1,'']]],
['mclk_5finst_5fnum_5593',['MCLK_INST_NUM',['../same54n19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p20a.h']]],
['mclk_5finsts_5594',['MCLK_INSTS',['../same54n19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p20a.h']]],
['mclk_5fintenclr_5fckrdy_5fpos_5595',['MCLK_INTENCLR_CKRDY_Pos',['../component_2mclk_8h.html#aebdcc599e953e54697b2e40b5397496b',1,'mclk.h']]],
['mclk_5fintenclr_5fmask_5596',['MCLK_INTENCLR_MASK',['../component_2mclk_8h.html#a6389053110a48b3e28a1856e9379af38',1,'mclk.h']]],
['mclk_5fintenclr_5foffset_5597',['MCLK_INTENCLR_OFFSET',['../component_2mclk_8h.html#a68637b3f1fed0ccd3ae371c53992e406',1,'mclk.h']]],
['mclk_5fintenclr_5fresetvalue_5598',['MCLK_INTENCLR_RESETVALUE',['../component_2mclk_8h.html#ad173bb9dba9e1522a644dbde879829d4',1,'mclk.h']]],
['mclk_5fintenclr_5ftype_5599',['MCLK_INTENCLR_Type',['../unionMCLK__INTENCLR__Type.html',1,'']]],
['mclk_5fintenset_5fckrdy_5fpos_5600',['MCLK_INTENSET_CKRDY_Pos',['../component_2mclk_8h.html#ab9fefb6acd254d502c9ea81d010831ff',1,'mclk.h']]],
['mclk_5fintenset_5fmask_5601',['MCLK_INTENSET_MASK',['../component_2mclk_8h.html#aa5b62ad35b9bc2c164fea2457115e2b8',1,'mclk.h']]],
['mclk_5fintenset_5foffset_5602',['MCLK_INTENSET_OFFSET',['../component_2mclk_8h.html#ab0258927c23f68d0f403a91f68fdc1a8',1,'mclk.h']]],
['mclk_5fintenset_5fresetvalue_5603',['MCLK_INTENSET_RESETVALUE',['../component_2mclk_8h.html#a1a1d204e6b63e6fb14793fad6be480b3',1,'mclk.h']]],
['mclk_5fintenset_5ftype_5604',['MCLK_INTENSET_Type',['../unionMCLK__INTENSET__Type.html',1,'']]],
['mclk_5fintflag_5fckrdy_5fpos_5605',['MCLK_INTFLAG_CKRDY_Pos',['../component_2mclk_8h.html#abef328de34a9da476fa9a626643999e5',1,'mclk.h']]],
['mclk_5fintflag_5fmask_5606',['MCLK_INTFLAG_MASK',['../component_2mclk_8h.html#a4d2f239e68ff5fba4b25397d91bed74a',1,'mclk.h']]],
['mclk_5fintflag_5foffset_5607',['MCLK_INTFLAG_OFFSET',['../component_2mclk_8h.html#af56eeb6ada43fe94d261d6d04336635b',1,'mclk.h']]],
['mclk_5fintflag_5fresetvalue_5608',['MCLK_INTFLAG_RESETVALUE',['../component_2mclk_8h.html#a8e5308a9ba8280b0e4f121e9d65a36a4',1,'mclk.h']]],
['mclk_5fintflag_5ftype_5609',['MCLK_INTFLAG_Type',['../unionMCLK__INTFLAG__Type.html',1,'']]],
['mclk_5firqn_5610',['MCLK_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p20a.h']]],
['mcol_5611',['MCOL',['../unionGMAC__MCF__Type.html#a4949c0eacc5234ca504f0872b216b3de',1,'GMAC_MCF_Type']]],
['mctrl_5612',['MCTRL',['../structCmcc.html#a0c4e011510e5e06185b19848f81dd0c9',1,'Cmcc']]],
['mdio_5613',['MDIO',['../unionGMAC__NSR__Type.html#a808644ffdb87f58d0ad3a89bf112fbec',1,'GMAC_NSR_Type']]],
['memdis_5614',['MEMDIS',['../unionPICOP__MMUCTRL__Type.html#aedc6bff32eb6cb31628a0cfa1fff85f4',1,'PICOP_MMUCTRL_Type']]],
['memorymanagement_5firqn_5615',['MemoryManagement_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p20a.h']]],
['memtype_5616',['MEMTYPE',['../structDsu.html#a408d8afc257ed78a3169ca958d24a5aa',1,'Dsu']]],
['men_5617',['MEN',['../structCmcc.html#a4aadc35cd008a8b59ccbef0afd787cca',1,'Cmcc']]],
['menable_5618',['MENABLE',['../unionCMCC__MEN__Type.html#a5017cb590c50ab9797a5e15e05dc7700',1,'CMCC_MEN_Type']]],
['mexttoen_5619',['MEXTTOEN',['../unionSERCOM__I2CM__CTRLA__Type.html#a6b694b1cdd1a005f9567f407361f9fc5',1,'SERCOM_I2CM_CTRLA_Type']]],
['mexttout_5620',['MEXTTOUT',['../unionSERCOM__I2CM__STATUS__Type.html#ae1b41f149decc65488a27b1027ed6098',1,'SERCOM_I2CM_STATUS_Type']]],
['mfnum_5621',['MFNUM',['../unionUSB__DEVICE__FNUM__Type.html#a8ca484982b4959e1ce5552172ee923bf',1,'USB_DEVICE_FNUM_Type::MFNUM()'],['../unionUSB__HOST__FNUM__Type.html#a0bff63e78a104c1486369719ff58bd18',1,'USB_HOST_FNUM_Type::MFNUM()']]],
['mfr_5622',['MFR',['../structGmac.html#a861cd98eb64120f136645080e39de788',1,'Gmac']]],
['mfrx_5623',['MFRX',['../unionGMAC__MFR__Type.html#ab68dacebfbfd51ca74105e9d4fa3673d',1,'GMAC_MFR_Type']]],
['mfs_5624',['MFS',['../unionGMAC__ISR__Type.html#ae91211a48e5372676ca3f44964a58f5c',1,'GMAC_ISR_Type::MFS()'],['../unionGMAC__IER__Type.html#adc6a86e27026c46c05ae82ef33deffa5',1,'GMAC_IER_Type::MFS()'],['../unionGMAC__IDR__Type.html#a200fbc202d1fb8f03081a70d2f5e4a0d',1,'GMAC_IDR_Type::MFS()'],['../unionGMAC__IMR__Type.html#a02a0d65563e780c8a180bf4f4004d8d3',1,'GMAC_IMR_Type::MFS()']]],
['mft_5625',['MFT',['../structGmac.html#a2a129a797408afef53f5f2711230c606',1,'Gmac']]],
['mftx_5626',['MFTX',['../unionGMAC__MFT__Type.html#a32bf5dd2903516a35bfe54a8bbd204cf',1,'GMAC_MFT_Type']]],
['mii_5627',['MII',['../unionGMAC__UR__Type.html#af0a720044504f499f7ddaee607b22539',1,'GMAC_UR_Type']]],
['minute_5628',['MINUTE',['../unionRTC__MODE2__CLOCK__Type.html#a32a992d0d81027c5443ba2a7a0c4f974',1,'RTC_MODE2_CLOCK_Type::MINUTE()'],['../unionRTC__MODE2__ALARM__Type.html#af4c6c678c2a15428745bbe7dbb5b56dd',1,'RTC_MODE2_ALARM_Type::MINUTE()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a7c809cbf6e97444c434637c0e01731d4',1,'RTC_MODE2_TIMESTAMP_Type::MINUTE()']]],
['mm_5629',['MM',['../unionCAN__TXBE__1__Type.html#a7adac836f59c2fc70c7b73b09b38d45b',1,'CAN_TXBE_1_Type::MM()'],['../unionCAN__TXEFE__1__Type.html#a4517df507d011dc9e1f457ce91062c16',1,'CAN_TXEFE_1_Type::MM()']]],
['mmu0_5630',['MMU0',['../structPicop.html#a4b019cca1c976fa47fcda394db203e50',1,'Picop']]],
['mmu1_5631',['MMU1',['../structPicop.html#acb04d87fb9f3a9f52732243fa5a9846f',1,'Picop']]],
['mmuctrl_5632',['MMUCTRL',['../structPicop.html#ad781f325652dffdb3e472aa8ed09aa60',1,'Picop']]],
['mmuflash_5633',['MMUFLASH',['../structPicop.html#a052b6a7a264ff7f6ebf6e8b5fe36f1af',1,'Picop']]],
['mode_5634',['MODE',['../unionCMCC__MCFG__Type.html#aee931ba78eb83e697fad986a4130e510',1,'CMCC_MCFG_Type::MODE()'],['../unionOSCCTRL__DFLLCTRLB__Type.html#ad073fa411491617af1aac6d332e32a01',1,'OSCCTRL_DFLLCTRLB_Type::MODE()'],['../unionPDEC__CTRLA__Type.html#ad660b0cfe70d97caecd0f20b1065e7cc',1,'PDEC_CTRLA_Type::MODE()'],['../unionQSPI__CTRLB__Type.html#a40b9f8126928b0a8cc5daa6402d10de8',1,'QSPI_CTRLB_Type::MODE()'],['../unionRTC__MODE0__CTRLA__Type.html#a19a80ce3140e4780a595513133e89751',1,'RTC_MODE0_CTRLA_Type::MODE()'],['../unionRTC__MODE1__CTRLA__Type.html#a086815908af6208ba632276828ef7450',1,'RTC_MODE1_CTRLA_Type::MODE()'],['../unionRTC__MODE2__CTRLA__Type.html#abe9ece5a9e4173ecbbca08b7ed9bd7b7',1,'RTC_MODE2_CTRLA_Type::MODE()'],['../unionSERCOM__I2CM__CTRLA__Type.html#a3fb961c4be03ac61a765f7b564f7acf4',1,'SERCOM_I2CM_CTRLA_Type::MODE()'],['../unionSERCOM__I2CS__CTRLA__Type.html#a71c8f61b9ffca9114e27c78fc93282c7',1,'SERCOM_I2CS_CTRLA_Type::MODE()'],['../unionSERCOM__SPI__CTRLA__Type.html#ae23d24939de9a66920e2f0c4158b2199',1,'SERCOM_SPI_CTRLA_Type::MODE()'],['../unionSERCOM__USART__CTRLA__Type.html#a07f6751ebca8342016885c406fce324d',1,'SERCOM_USART_CTRLA_Type::MODE()'],['../unionTC__CTRLA__Type.html#a7b2911772bdbadd9d3a670183a36a81b',1,'TC_CTRLA_Type::MODE()'],['../unionUSB__CTRLA__Type.html#a23a99daca797d764f3e54f15bc3444a3',1,'USB_CTRLA_Type::MODE()']]],
['mode0_5635',['MODE0',['../unionRtc.html#a02141b27492423d9289f41b7d95ffad9',1,'Rtc']]],
['mode1_5636',['MODE1',['../unionRtc.html#a6d79941381ca27f822f5413a6c313e1a',1,'Rtc']]],
['mode2_5637',['MODE2',['../unionRtc.html#adf0f631b6be9719d97505ccbccdf3def',1,'Rtc']]],
['mode2alarm_5638',['Mode2Alarm',['../structRtcMode2.html#abeff3c405dbd6ed786526afc55029820',1,'RtcMode2']]],
['mon_5639',['MON',['../unionCAN__CCCR__Type.html#a2853a1d02a67c63c301812f575540f0b',1,'CAN_CCCR_Type']]],
['mono_5640',['MONO',['../unionI2S__TXCTRL__Type.html#a6b8a09a6581f03229a9dfeace12ff458',1,'I2S_TXCTRL_Type::MONO()'],['../unionI2S__RXCTRL__Type.html#a919dc55b450ace43bbf9aa7a2fa74de4',1,'I2S_RXCTRL_Type::MONO()']]],
['month_5641',['MONTH',['../unionRTC__MODE2__CLOCK__Type.html#a967c10b3863fa21508bd35cfcc8508f8',1,'RTC_MODE2_CLOCK_Type::MONTH()'],['../unionRTC__MODE2__ALARM__Type.html#ac07b07bfe235d77ec2e00ce470321cc6',1,'RTC_MODE2_ALARM_Type::MONTH()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a8d9781e7c4414278e31ba00edc8fc334',1,'RTC_MODE2_TIMESTAMP_Type::MONTH()']]],
['mpe_5642',['MPE',['../unionGMAC__NCR__Type.html#a896b6b2cf4904c2b6878a1f9089c838b',1,'GMAC_NCR_Type']]],
['mperr_5643',['MPERR',['../unionPDEC__STATUS__Type.html#a7e57a79e098f6ac3b08b11a9f1b419da',1,'PDEC_STATUS_Type']]],
['mr_5644',['MR',['../structPcc.html#ae240887b2bf7144186f620fe54b7b4ce',1,'Pcc']]],
['mraf_5645',['MRAF',['../unionCAN__IR__Type.html#ad8596c3b960fbb516a9c0adfc5ee1406',1,'CAN_IR_Type']]],
['mrafe_5646',['MRAFE',['../unionCAN__IE__Type.html#ac1d21e8221398c5849c6c20f7dacf8e7',1,'CAN_IE_Type']]],
['mrafl_5647',['MRAFL',['../unionCAN__ILS__Type.html#ad0b3ef7d549317808a26f87b802514eb',1,'CAN_ILS_Type']]],
['mrcfg_5648',['MRCFG',['../structCan.html#aab7fa0a31ab04ae87051dc557ddeb694',1,'Can']]],
['mrprot_5649',['MRPROT',['../unionICM__RCFG__Type.html#af91f6b6068d77e08fe214ca1646c6c9f',1,'ICM_RCFG_Type']]],
['msbsel_5650',['MSBSEL',['../unionSDHC__TMR__Type.html#afa470ad341ca8437713b453f478f247e',1,'SDHC_TMR_Type']]],
['msi_5651',['MSI',['../unionCAN__HPMS__Type.html#ac754ab9641d33fbf4dce590b0de24e7f',1,'CAN_HPMS_Type']]],
['msof_5652',['MSOF',['../unionUSB__DEVICE__INTENCLR__Type.html#aaddc4ad7905d6c4a7eb7b949b199df27',1,'USB_DEVICE_INTENCLR_Type::MSOF()'],['../unionUSB__DEVICE__INTENSET__Type.html#a0620c305dbddb2dc60f78be53827fec9',1,'USB_DEVICE_INTENSET_Type::MSOF()'],['../unionUSB__DEVICE__INTFLAG__Type.html#af8848629b3d4cf7109e36051c47d4848',1,'USB_DEVICE_INTFLAG_Type::MSOF()']]],
['msr_5653',['MSR',['../structCmcc.html#a38272d8b430ad87ce4552ac7236255b6',1,'Cmcc']]],
['mssen_5654',['MSSEN',['../unionSERCOM__SPI__CTRLB__Type.html#a32fca1f5ab3696002ac1e65003f5d1af',1,'SERCOM_SPI_CTRLB_Type']]],
['msync_5655',['MSYNC',['../unionTCC__CTRLA__Type.html#ab4fe6ffa39ad80b8fec4b73891eba95f',1,'TCC_CTRLA_Type']]],
['mti_5656',['MTI',['../unionGMAC__WOL__Type.html#a7217d9d1a8739632a002e9b0902e22dd',1,'GMAC_WOL_Type']]],
['mtihen_5657',['MTIHEN',['../unionGMAC__NCFGR__Type.html#aaba1df829a06512ca61f122d4d0247a8',1,'GMAC_NCFGR_Type']]],
['mul_5658',['MUL',['../unionOSCCTRL__DFLLMUL__Type.html#a953ebf2488a6a6a109274e86f3f595e3',1,'OSCCTRL_DFLLMUL_Type']]],
['multi_5fpacket_5fsize_5659',['MULTI_PACKET_SIZE',['../unionUSB__DEVICE__PCKSIZE__Type.html#a3c171c61d6be97511d0fcc116256bd3c',1,'USB_DEVICE_PCKSIZE_Type::MULTI_PACKET_SIZE()'],['../unionUSB__HOST__PCKSIZE__Type.html#a6446c0ab7f470bd2ef0cc06c3897a68e',1,'USB_HOST_PCKSIZE_Type::MULTI_PACKET_SIZE()']]],
['muxneg_5660',['MUXNEG',['../unionAC__COMPCTRL__Type.html#a46f2e7662c18b2108a2c8de43c00b39f',1,'AC_COMPCTRL_Type::MUXNEG()'],['../unionADC__INPUTCTRL__Type.html#a0a7d8e4a1fae39ce46e4bab2d96fa83f',1,'ADC_INPUTCTRL_Type::MUXNEG()']]],
['muxpos_5661',['MUXPOS',['../unionAC__COMPCTRL__Type.html#aa4274235084b7d672bf0f4c08f214050',1,'AC_COMPCTRL_Type::MUXPOS()'],['../unionADC__INPUTCTRL__Type.html#a01f939ab84b6f9ce9432d408abcf7312',1,'ADC_INPUTCTRL_Type::MUXPOS()']]],
['main_20clock_5662',['Main Clock',['../group__SAME54__MCLK.html',1,'']]]
['mag_5458',['MAG',['../unionGMAC__WOL__Type.html#ae7a214a58a64bf6716f7e1fe97044297',1,'GMAC_WOL_Type']]],
['main_2ec_5459',['main.c',['../main_8c.html',1,'']]],
['maint0_5460',['MAINT0',['../structCmcc.html#aa33ce67fcc1e3cb34a8bff05455be247',1,'Cmcc']]],
['maint1_5461',['MAINT1',['../structCmcc.html#a76bb07832821d65765bbddecd48b047f',1,'Cmcc']]],
['man_5462',['MAN',['../structGmac.html#a996630e20e130021c0273162eb90d32b',1,'Gmac']]],
['mapuexcept_5463',['MAPUEXCEPT',['../unionPICOP__CTRL__Type.html#afa4a4be35f5c57ea71bba33b19d5dc36',1,'PICOP_CTRL_Type']]],
['marret_5464',['MARRET',['../unionPICOP__CONFIG__Type.html#a81d3a03e6627dfa7bc9f97ede14666e3',1,'PICOP_CONFIG_Type']]],
['mask_5465',['MASK',['../unionRTC__MODE2__SYNCBUSY__Type.html#a96b30bc43321b3d6723da728f7fb1392',1,'RTC_MODE2_SYNCBUSY_Type::MASK()'],['../structRtcMode2Alarm.html#a3e9f8006b7ca5afd9fc6c381e78de32b',1,'RtcMode2Alarm::MASK()']]],
['mask0_5466',['MASK0',['../unionRTC__MODE2__SYNCBUSY__Type.html#aa73faa1d59be63978b1f4d490e295ec9',1,'RTC_MODE2_SYNCBUSY_Type']]],
['mask1_5467',['MASK1',['../unionRTC__MODE2__SYNCBUSY__Type.html#a654ebd32026fadceda0315f3a4002aba',1,'RTC_MODE2_SYNCBUSY_Type']]],
['matchclr_5468',['MATCHCLR',['../unionRTC__MODE0__CTRLA__Type.html#a2d913873fdc6b559e777b3c421f030e5',1,'RTC_MODE0_CTRLA_Type::MATCHCLR()'],['../unionRTC__MODE2__CTRLA__Type.html#ae9d2bdf84f758d296e3399223182d2b2',1,'RTC_MODE2_CTRLA_Type::MATCHCLR()']]],
['maxblkl_5469',['MAXBLKL',['../unionSDHC__CA0R__Type.html#a86354752832c59a455bc062a791faea8',1,'SDHC_CA0R_Type']]],
['maxcmp_5470',['MAXCMP',['../unionPDEC__CTRLA__Type.html#add201539601b478030ef95fcecf34dd5',1,'PDEC_CTRLA_Type']]],
['maxcur18v_5471',['MAXCUR18V',['../unionSDHC__MCCAR__Type.html#a9fc09f2acc9f743526bc4581cfc1b03c',1,'SDHC_MCCAR_Type']]],
['maxcur30v_5472',['MAXCUR30V',['../unionSDHC__MCCAR__Type.html#acc735263088130ed8cb53825a6711c26',1,'SDHC_MCCAR_Type']]],
['maxcur33v_5473',['MAXCUR33V',['../unionSDHC__MCCAR__Type.html#ac9a7e66730ba066d57993be5bbab2179',1,'SDHC_MCCAR_Type']]],
['maxfs_5474',['MAXFS',['../unionGMAC__NCFGR__Type.html#a5bf5d6a840a85cd1eeb6a264491fc84f',1,'GMAC_NCFGR_Type']]],
['maxiter_5475',['MAXITER',['../unionSERCOM__USART__CTRLC__Type.html#abf619f85059c58a9a2e509622bc49d04',1,'SERCOM_USART_CTRLC_Type']]],
['mb_5476',['MB',['../unionSERCOM__I2CM__INTENCLR__Type.html#a9ef4edf2cd19427cf7b3059430f6351b',1,'SERCOM_I2CM_INTENCLR_Type::MB()'],['../unionSERCOM__I2CM__INTENSET__Type.html#a653b98b3ceb66d55d57b8474014aada2',1,'SERCOM_I2CM_INTENSET_Type::MB()'],['../unionSERCOM__I2CM__INTFLAG__Type.html#a336760053ed0a03cff15e4b3582dce3c',1,'SERCOM_I2CM_INTFLAG_Type::MB()']]],
['mbist_5477',['MBIST',['../unionDSU__CTRL__Type.html#a0b11d4226d2417422ef909e10ed17bc9',1,'DSU_CTRL_Type']]],
['mc_5478',['MC',['../unionPDEC__INTENCLR__Type.html#acb55227447926accad81bc2f8b053e92',1,'PDEC_INTENCLR_Type::MC()'],['../unionPDEC__INTENSET__Type.html#ad8a95c127da961c32a47f201bc8a41fa',1,'PDEC_INTENSET_Type::MC()'],['../unionPDEC__INTFLAG__Type.html#a7314d3723063fece0ce804bff2e9a9f0',1,'PDEC_INTFLAG_Type::MC()'],['../unionTC__INTENCLR__Type.html#a435e4cb22b1cfea875b81e1d060f9aeb',1,'TC_INTENCLR_Type::MC()'],['../unionTC__INTENSET__Type.html#a618f24b2152f836d265157facec84ce0',1,'TC_INTENSET_Type::MC()'],['../unionTC__INTFLAG__Type.html#abcf0bb12daf3880c74765780d339fe1a',1,'TC_INTFLAG_Type::MC()'],['../unionTCC__INTENCLR__Type.html#a3115b7d0a309a1eb888fa8eee3e89587',1,'TCC_INTENCLR_Type::MC()'],['../unionTCC__INTENSET__Type.html#ab9bcab889ef30bb96044aabab376e767',1,'TCC_INTENSET_Type::MC()'],['../unionTCC__INTFLAG__Type.html#a2d8b65c238589154684809ce37a65e9b',1,'TCC_INTFLAG_Type::MC()']]],
['mc0_5479',['MC0',['../unionPDEC__INTENCLR__Type.html#ae3be344cb563bc45746c4625b42c3fc1',1,'PDEC_INTENCLR_Type::MC0()'],['../unionPDEC__INTENSET__Type.html#a52ca664c0df1b6f5c548fdbb9b7a97da',1,'PDEC_INTENSET_Type::MC0()'],['../unionPDEC__INTFLAG__Type.html#a02f55a909c0c7c2545370b26737cb5f7',1,'PDEC_INTFLAG_Type::MC0()'],['../unionTC__INTENCLR__Type.html#ac3bce0f18fdc48960b8cb9a59f43897d',1,'TC_INTENCLR_Type::MC0()'],['../unionTC__INTENSET__Type.html#a5d2f643da9ab8e4babbcf5b37e33d95e',1,'TC_INTENSET_Type::MC0()'],['../unionTC__INTFLAG__Type.html#a3051c051d8c9a1841f93b398e15715de',1,'TC_INTFLAG_Type::MC0()'],['../unionTCC__INTENCLR__Type.html#aed23b70b82b1fb9b47583387bafa4b38',1,'TCC_INTENCLR_Type::MC0()'],['../unionTCC__INTENSET__Type.html#a156394693aaae0d2623aebd213daae6f',1,'TCC_INTENSET_Type::MC0()'],['../unionTCC__INTFLAG__Type.html#a8b3443e0e2fae5344ee2d6b0fbff15e8',1,'TCC_INTFLAG_Type::MC0()']]],
['mc1_5480',['MC1',['../unionPDEC__INTENCLR__Type.html#a215dbae3b32d69108e5a312be3ec2c89',1,'PDEC_INTENCLR_Type::MC1()'],['../unionPDEC__INTENSET__Type.html#ab38fcba7b0aa0cf1c8117235faf13c5f',1,'PDEC_INTENSET_Type::MC1()'],['../unionPDEC__INTFLAG__Type.html#a8f5b9f6b0aeead92bcf554506debdde8',1,'PDEC_INTFLAG_Type::MC1()'],['../unionTC__INTENCLR__Type.html#a4cef0975ae53be099c646b5cab414ce2',1,'TC_INTENCLR_Type::MC1()'],['../unionTC__INTENSET__Type.html#abdb3b3352fdac1174dd944a99c6faabe',1,'TC_INTENSET_Type::MC1()'],['../unionTC__INTFLAG__Type.html#aa34d4b32afa47a0e539676998eeac8b2',1,'TC_INTFLAG_Type::MC1()'],['../unionTCC__INTENCLR__Type.html#a5d8a106a385ab3b34a4c0d891fe45090',1,'TCC_INTENCLR_Type::MC1()'],['../unionTCC__INTENSET__Type.html#ac7bad345418f1dea90599b6e498483ca',1,'TCC_INTENSET_Type::MC1()'],['../unionTCC__INTFLAG__Type.html#a9e29270d728143461b7e1a6dd7519c9c',1,'TCC_INTFLAG_Type::MC1()']]],
['mc1r_5481',['MC1R',['../structSdhc.html#ad825e5d75852893a9591060235dea33d',1,'Sdhc']]],
['mc2_5482',['MC2',['../unionTCC__INTENCLR__Type.html#a2d8932501daab5264124bbbd22a58c6b',1,'TCC_INTENCLR_Type::MC2()'],['../unionTCC__INTENSET__Type.html#a4aa8f3e6e03e2596403740dab34b38b3',1,'TCC_INTENSET_Type::MC2()'],['../unionTCC__INTFLAG__Type.html#a29f0e9a3ebefc0245d2e84d532e7d4e1',1,'TCC_INTFLAG_Type::MC2()']]],
['mc2r_5483',['MC2R',['../structSdhc.html#a7f7b113ddba11bcd5d6c66283a06a616',1,'Sdhc']]],
['mc3_5484',['MC3',['../unionTCC__INTENCLR__Type.html#a163d420f51e19cf42ad557c4e0f8443c',1,'TCC_INTENCLR_Type::MC3()'],['../unionTCC__INTENSET__Type.html#a8e5660ab399865238c54a3df3cdef29b',1,'TCC_INTENSET_Type::MC3()'],['../unionTCC__INTFLAG__Type.html#a936eff8f31a141af051ef9c2f081e07e',1,'TCC_INTFLAG_Type::MC3()']]],
['mc4_5485',['MC4',['../unionTCC__INTENCLR__Type.html#af55fdf9fb5b2e27d16ccb15cb4769cff',1,'TCC_INTENCLR_Type::MC4()'],['../unionTCC__INTENSET__Type.html#af2ae569829d9d06a9fcc1937e08030ac',1,'TCC_INTENSET_Type::MC4()'],['../unionTCC__INTFLAG__Type.html#ac6fed58a8cb84e3ef3a6261e600249df',1,'TCC_INTFLAG_Type::MC4()']]],
['mc5_5486',['MC5',['../unionTCC__INTENCLR__Type.html#aa47eb6f213a55cac4dee8d0cda1e36a5',1,'TCC_INTENCLR_Type::MC5()'],['../unionTCC__INTENSET__Type.html#a89d1bb2cd9d533c4994475844fcdc114',1,'TCC_INTENSET_Type::MC5()'],['../unionTCC__INTFLAG__Type.html#add5cd537ca2c105dd5ad100268c3728d',1,'TCC_INTFLAG_Type::MC5()']]],
['mccar_5487',['MCCAR',['../structSdhc.html#afff46b235f0390e6b361b0ac08c4131a',1,'Sdhc']]],
['mcei_5488',['MCEI',['../unionTCC__EVCTRL__Type.html#aac02140d5d9dd26e767abd5e2f20642a',1,'TCC_EVCTRL_Type']]],
['mcei0_5489',['MCEI0',['../unionTCC__EVCTRL__Type.html#abfdd37dfbf186303413125f2b1eb5e3e',1,'TCC_EVCTRL_Type']]],
['mcei1_5490',['MCEI1',['../unionTCC__EVCTRL__Type.html#a0e2b8d1907fecb9e45d5fd63b2232f4a',1,'TCC_EVCTRL_Type']]],
['mcei2_5491',['MCEI2',['../unionTCC__EVCTRL__Type.html#ae486dd866460b5f624a3d1d5f59a6c6c',1,'TCC_EVCTRL_Type']]],
['mcei3_5492',['MCEI3',['../unionTCC__EVCTRL__Type.html#a11d03906c2c2e764aab96c00e9492213',1,'TCC_EVCTRL_Type']]],
['mcei4_5493',['MCEI4',['../unionTCC__EVCTRL__Type.html#a295ac0ed64bd90785d984cf51c7f9f30',1,'TCC_EVCTRL_Type']]],
['mcei5_5494',['MCEI5',['../unionTCC__EVCTRL__Type.html#a58f296f2ab7f5560c24fa8931e49e655',1,'TCC_EVCTRL_Type']]],
['mceo_5495',['MCEO',['../unionPDEC__EVCTRL__Type.html#aaf6b59f62cdca6411a084873d2e0f456',1,'PDEC_EVCTRL_Type::MCEO()'],['../unionTC__EVCTRL__Type.html#a8dcd433a01d6524d01444d6acfa50ebf',1,'TC_EVCTRL_Type::MCEO()'],['../unionTCC__EVCTRL__Type.html#ad7867cc2c0dfeac1e63cc5c03eb387cd',1,'TCC_EVCTRL_Type::MCEO()']]],
['mceo0_5496',['MCEO0',['../unionPDEC__EVCTRL__Type.html#a36aabaf7d4fcf3dcdc76c8cce6199eb8',1,'PDEC_EVCTRL_Type::MCEO0()'],['../unionTC__EVCTRL__Type.html#aa46366f771b15a087e965df07dd76a8c',1,'TC_EVCTRL_Type::MCEO0()'],['../unionTCC__EVCTRL__Type.html#afa3ac80cf324c04ec5d68cfa117a8b81',1,'TCC_EVCTRL_Type::MCEO0()']]],
['mceo1_5497',['MCEO1',['../unionPDEC__EVCTRL__Type.html#a21130feb1fe6135ff956f2777701a47d',1,'PDEC_EVCTRL_Type::MCEO1()'],['../unionTC__EVCTRL__Type.html#a8ec95d3e42528187b2b9da3f4db4857b',1,'TC_EVCTRL_Type::MCEO1()'],['../unionTCC__EVCTRL__Type.html#a563f03afa699cb8029613548cb10d213',1,'TCC_EVCTRL_Type::MCEO1()']]],
['mceo2_5498',['MCEO2',['../unionTCC__EVCTRL__Type.html#abfc33a94a62d8aa02849ca770b8558dd',1,'TCC_EVCTRL_Type']]],
['mceo3_5499',['MCEO3',['../unionTCC__EVCTRL__Type.html#a3ad5ac4cda06e19d725c6f43c2661f6e',1,'TCC_EVCTRL_Type']]],
['mceo4_5500',['MCEO4',['../unionTCC__EVCTRL__Type.html#aa334ad827cd39423292cee6f1138beaa',1,'TCC_EVCTRL_Type']]],
['mceo5_5501',['MCEO5',['../unionTCC__EVCTRL__Type.html#a3b0e168393337e367ead98b56592f9c3',1,'TCC_EVCTRL_Type']]],
['mcf_5502',['MCF',['../structGmac.html#a82f49bbba196b7c879e8df4f7bed9a04',1,'Gmac']]],
['mcfg_5503',['MCFG',['../structCmcc.html#a1304e940b4556471b4a722b67b165a8b',1,'Cmcc']]],
['mckdiv_5504',['MCKDIV',['../unionI2S__CLKCTRL__Type.html#a8554d0ed509ed66ffd0805b4e6fef8ec',1,'I2S_CLKCTRL_Type']]],
['mcken_5505',['MCKEN',['../unionI2S__CLKCTRL__Type.html#a1d649efc7bf50df4a086a3f50f6f9622',1,'I2S_CLKCTRL_Type']]],
['mckoutdiv_5506',['MCKOUTDIV',['../unionI2S__CLKCTRL__Type.html#a39a27f504a2d3fccb6c99256ed503bad',1,'I2S_CLKCTRL_Type']]],
['mckoutinv_5507',['MCKOUTINV',['../unionI2S__CLKCTRL__Type.html#a36287a0c836b016a982812315d96efb1',1,'I2S_CLKCTRL_Type']]],
['mcksel_5508',['MCKSEL',['../unionI2S__CLKCTRL__Type.html#a9bad3367378b1be0a091b020a97cdb90',1,'I2S_CLKCTRL_Type']]],
['mclk_5509',['Mclk',['../structMclk.html',1,'Mclk'],['../same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p20a.h']]],
['mclk_2eh_5510',['mclk.h',['../component_2mclk_8h.html',1,'(Global Namespace)'],['../instance_2mclk_8h.html',1,'(Global Namespace)']]],
['mclk_5f_5511',['MCLK_',['../unionMCLK__APBAMASK__Type.html#ab1f2baed5b9ebd2303153ebcbe697d66',1,'MCLK_APBAMASK_Type::MCLK_()'],['../unionPAC__INTFLAGA__Type.html#aab517d8db3c0e8ae6175f01fa838771a',1,'PAC_INTFLAGA_Type::MCLK_()'],['../unionPAC__STATUSA__Type.html#a56c3d6356e4728df39cdf842cd3f5883',1,'PAC_STATUSA_Type::MCLK_()']]],
['mclk_5fahbmask_5fbkupram_5fpos_5512',['MCLK_AHBMASK_BKUPRAM_Pos',['../component_2mclk_8h.html#a51f341be2cd16552a79406a3df9fad31',1,'mclk.h']]],
['mclk_5fahbmask_5fcan0_5fpos_5513',['MCLK_AHBMASK_CAN0_Pos',['../component_2mclk_8h.html#ad5d5cfafc70ebe598b651e3496fcd4a4',1,'mclk.h']]],
['mclk_5fahbmask_5fcan1_5fpos_5514',['MCLK_AHBMASK_CAN1_Pos',['../component_2mclk_8h.html#a361fe28b52db9c858de0926bc72b5985',1,'mclk.h']]],
['mclk_5fahbmask_5fcmcc_5fpos_5515',['MCLK_AHBMASK_CMCC_Pos',['../component_2mclk_8h.html#a5114ee4fb624a1109ca8a35533050c91',1,'mclk.h']]],
['mclk_5fahbmask_5fdmac_5fpos_5516',['MCLK_AHBMASK_DMAC_Pos',['../component_2mclk_8h.html#a9777b9f4e5c6f15597d5d0f3c284a638',1,'mclk.h']]],
['mclk_5fahbmask_5fdsu_5fpos_5517',['MCLK_AHBMASK_DSU_Pos',['../component_2mclk_8h.html#af25c239a7914145d262614e4cea537b3',1,'mclk.h']]],
['mclk_5fahbmask_5fgmac_5fpos_5518',['MCLK_AHBMASK_GMAC_Pos',['../component_2mclk_8h.html#a90a6e6c304da25718d5535909d7765f9',1,'mclk.h']]],
['mclk_5fahbmask_5fhmatrix_5fpos_5519',['MCLK_AHBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#a0c382b9b2b318a564cf9769941fa7373',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb0_5fpos_5520',['MCLK_AHBMASK_HPB0_Pos',['../component_2mclk_8h.html#aab73df1970914c738e069aa3f44343f7',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb1_5fpos_5521',['MCLK_AHBMASK_HPB1_Pos',['../component_2mclk_8h.html#ad500245362fc27f25dbd1cd872403db5',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb2_5fpos_5522',['MCLK_AHBMASK_HPB2_Pos',['../component_2mclk_8h.html#ad85e221b80ccea1b2fb40ccd57361831',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb3_5fpos_5523',['MCLK_AHBMASK_HPB3_Pos',['../component_2mclk_8h.html#a0fde493e6b6f196f2f5097f561c77fa3',1,'mclk.h']]],
['mclk_5fahbmask_5fhsram_5fpos_5524',['MCLK_AHBMASK_HSRAM_Pos',['../component_2mclk_8h.html#a3a610cdaf2a0676797625dee122829f3',1,'mclk.h']]],
['mclk_5fahbmask_5ficm_5fpos_5525',['MCLK_AHBMASK_ICM_Pos',['../component_2mclk_8h.html#a96dc631a8955ba6a803b4a7e0f45456e',1,'mclk.h']]],
['mclk_5fahbmask_5fmask_5526',['MCLK_AHBMASK_MASK',['../component_2mclk_8h.html#a4ff9567101bdb1ceefebec27f64d817f',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fcache_5fpos_5527',['MCLK_AHBMASK_NVMCTRL_CACHE_Pos',['../component_2mclk_8h.html#a433eb3bd2eac386d68dadbeb476c412b',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fpos_5528',['MCLK_AHBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a81becb828c915d5aac7686148213cb7a',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fsmeeprom_5fpos_5529',['MCLK_AHBMASK_NVMCTRL_SMEEPROM_Pos',['../component_2mclk_8h.html#a893beae0d8a30df0cac8ac289280926a',1,'mclk.h']]],
['mclk_5fahbmask_5foffset_5530',['MCLK_AHBMASK_OFFSET',['../component_2mclk_8h.html#af60da772fd92f5ac2d24529a4edd5bcf',1,'mclk.h']]],
['mclk_5fahbmask_5fpac_5fpos_5531',['MCLK_AHBMASK_PAC_Pos',['../component_2mclk_8h.html#a25f30a1fcd43fb869b5594496df8d1f1',1,'mclk.h']]],
['mclk_5fahbmask_5fpukcc_5fpos_5532',['MCLK_AHBMASK_PUKCC_Pos',['../component_2mclk_8h.html#aced4a82f31a9b059bc0ce0372302cfc9',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5f2x_5fpos_5533',['MCLK_AHBMASK_QSPI_2X_Pos',['../component_2mclk_8h.html#addc4877eff69e76ab70162f511744c0b',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5fpos_5534',['MCLK_AHBMASK_QSPI_Pos',['../component_2mclk_8h.html#aadc7e0a4d4a8f204ff028e09185a6dbc',1,'mclk.h']]],
['mclk_5fahbmask_5fresetvalue_5535',['MCLK_AHBMASK_RESETVALUE',['../component_2mclk_8h.html#a7416e817fc3532e446cfae94a314c2c1',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc0_5fpos_5536',['MCLK_AHBMASK_SDHC0_Pos',['../component_2mclk_8h.html#a075eb14056d8b7fedd243795408bf542',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc1_5fpos_5537',['MCLK_AHBMASK_SDHC1_Pos',['../component_2mclk_8h.html#a33f14b34d3d4622e115b84d46bb9207a',1,'mclk.h']]],
['mclk_5fahbmask_5ftype_5538',['MCLK_AHBMASK_Type',['../unionMCLK__AHBMASK__Type.html',1,'']]],
['mclk_5fahbmask_5fusb_5fpos_5539',['MCLK_AHBMASK_USB_Pos',['../component_2mclk_8h.html#a58c4b1c4ee77bdcf758d0e74ec5b5abc',1,'mclk.h']]],
['mclk_5fapbamask_5feic_5fpos_5540',['MCLK_APBAMASK_EIC_Pos',['../component_2mclk_8h.html#a5071841327f5691fad5aaa64928723b4',1,'mclk.h']]],
['mclk_5fapbamask_5ffreqm_5fpos_5541',['MCLK_APBAMASK_FREQM_Pos',['../component_2mclk_8h.html#acf54f7ce88550526bfc9800583a180ae',1,'mclk.h']]],
['mclk_5fapbamask_5fgclk_5fpos_5542',['MCLK_APBAMASK_GCLK_Pos',['../component_2mclk_8h.html#a5fb09fb3c0885c6bf234d8021344b40b',1,'mclk.h']]],
['mclk_5fapbamask_5fmask_5543',['MCLK_APBAMASK_MASK',['../component_2mclk_8h.html#a85274982e658543846410257d8741368',1,'mclk.h']]],
['mclk_5fapbamask_5fmclk_5fpos_5544',['MCLK_APBAMASK_MCLK_Pos',['../component_2mclk_8h.html#a0db8d768734ebf5b9b0c4b32fff4ad02',1,'mclk.h']]],
['mclk_5fapbamask_5foffset_5545',['MCLK_APBAMASK_OFFSET',['../component_2mclk_8h.html#a0c6c90fc226352932e5d81fb51efebc0',1,'mclk.h']]],
['mclk_5fapbamask_5fosc32kctrl_5fpos_5546',['MCLK_APBAMASK_OSC32KCTRL_Pos',['../component_2mclk_8h.html#ac13c0587fd456465accd0efc6e769413',1,'mclk.h']]],
['mclk_5fapbamask_5foscctrl_5fpos_5547',['MCLK_APBAMASK_OSCCTRL_Pos',['../component_2mclk_8h.html#ac3c888b7b8de3be9e61afcf2aff6dff2',1,'mclk.h']]],
['mclk_5fapbamask_5fpac_5fpos_5548',['MCLK_APBAMASK_PAC_Pos',['../component_2mclk_8h.html#a961dc42788c4447477d905b3fa27c039',1,'mclk.h']]],
['mclk_5fapbamask_5fpm_5fpos_5549',['MCLK_APBAMASK_PM_Pos',['../component_2mclk_8h.html#a04293b17854c4a2862117cb1e92e90d9',1,'mclk.h']]],
['mclk_5fapbamask_5fresetvalue_5550',['MCLK_APBAMASK_RESETVALUE',['../component_2mclk_8h.html#a3d96d2b490df3f3164791e72baeabe60',1,'mclk.h']]],
['mclk_5fapbamask_5frstc_5fpos_5551',['MCLK_APBAMASK_RSTC_Pos',['../component_2mclk_8h.html#a23015f90db0192052e8d0a971684fa6e',1,'mclk.h']]],
['mclk_5fapbamask_5frtc_5fpos_5552',['MCLK_APBAMASK_RTC_Pos',['../component_2mclk_8h.html#a29bcfd311e7858237139c52fa164728d',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom0_5fpos_5553',['MCLK_APBAMASK_SERCOM0_Pos',['../component_2mclk_8h.html#a51a1701f5148614ae7068e73e4fa46df',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom1_5fpos_5554',['MCLK_APBAMASK_SERCOM1_Pos',['../component_2mclk_8h.html#ad7c8b0f983278890160b98943182947b',1,'mclk.h']]],
['mclk_5fapbamask_5fsupc_5fpos_5555',['MCLK_APBAMASK_SUPC_Pos',['../component_2mclk_8h.html#a9482e51359fc581f7c605977eb3685c5',1,'mclk.h']]],
['mclk_5fapbamask_5ftc0_5fpos_5556',['MCLK_APBAMASK_TC0_Pos',['../component_2mclk_8h.html#adafd8598fbe6998d741a4db76ae10819',1,'mclk.h']]],
['mclk_5fapbamask_5ftc1_5fpos_5557',['MCLK_APBAMASK_TC1_Pos',['../component_2mclk_8h.html#aadeb489d48264708c10f7d267b8a5365',1,'mclk.h']]],
['mclk_5fapbamask_5ftype_5558',['MCLK_APBAMASK_Type',['../unionMCLK__APBAMASK__Type.html',1,'']]],
['mclk_5fapbamask_5fwdt_5fpos_5559',['MCLK_APBAMASK_WDT_Pos',['../component_2mclk_8h.html#a65b51937fbcdf1a5d7418bbfd0cb3a4e',1,'mclk.h']]],
['mclk_5fapbbmask_5fdsu_5fpos_5560',['MCLK_APBBMASK_DSU_Pos',['../component_2mclk_8h.html#a04d6d13ad8ea21c5493c88e22b64900b',1,'mclk.h']]],
['mclk_5fapbbmask_5fevsys_5fpos_5561',['MCLK_APBBMASK_EVSYS_Pos',['../component_2mclk_8h.html#acfef8c4d439e6c9c2474a3dba130a9ee',1,'mclk.h']]],
['mclk_5fapbbmask_5fhmatrix_5fpos_5562',['MCLK_APBBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#ac9b7b578f7a12e80c52cb5f536ffbfc6',1,'mclk.h']]],
['mclk_5fapbbmask_5fmask_5563',['MCLK_APBBMASK_MASK',['../component_2mclk_8h.html#a17175a488d06a4b52abab2d654660456',1,'mclk.h']]],
['mclk_5fapbbmask_5fnvmctrl_5fpos_5564',['MCLK_APBBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a00e3c7c7c317a8a1a2e828ed35e38993',1,'mclk.h']]],
['mclk_5fapbbmask_5foffset_5565',['MCLK_APBBMASK_OFFSET',['../component_2mclk_8h.html#a8c35b6e1cf5ad6b7de3361646bc0510f',1,'mclk.h']]],
['mclk_5fapbbmask_5fport_5fpos_5566',['MCLK_APBBMASK_PORT_Pos',['../component_2mclk_8h.html#ae3ed73b717df511384b9192f5ff8a411',1,'mclk.h']]],
['mclk_5fapbbmask_5framecc_5fpos_5567',['MCLK_APBBMASK_RAMECC_Pos',['../component_2mclk_8h.html#a7a77772f140e3aeb2d58634ec4b1b206',1,'mclk.h']]],
['mclk_5fapbbmask_5fresetvalue_5568',['MCLK_APBBMASK_RESETVALUE',['../component_2mclk_8h.html#a7784f1cdd1314b91b3004070e1fc4b8b',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom2_5fpos_5569',['MCLK_APBBMASK_SERCOM2_Pos',['../component_2mclk_8h.html#ae601a75e252448b9b3ac8b7976bc06b4',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom3_5fpos_5570',['MCLK_APBBMASK_SERCOM3_Pos',['../component_2mclk_8h.html#a79d8ab6fd56bcdd7e7b512b076b86846',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc2_5fpos_5571',['MCLK_APBBMASK_TC2_Pos',['../component_2mclk_8h.html#acf6f153dbd6855a2250546f855a8c781',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc3_5fpos_5572',['MCLK_APBBMASK_TC3_Pos',['../component_2mclk_8h.html#a7365f0e61af5bf7f97d074e8f3987d54',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc0_5fpos_5573',['MCLK_APBBMASK_TCC0_Pos',['../component_2mclk_8h.html#a49d92e93709a54e3d7d92f7c14a9cec1',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc1_5fpos_5574',['MCLK_APBBMASK_TCC1_Pos',['../component_2mclk_8h.html#a481a03f2e4cace02a94025c9e0790da5',1,'mclk.h']]],
['mclk_5fapbbmask_5ftype_5575',['MCLK_APBBMASK_Type',['../unionMCLK__APBBMASK__Type.html',1,'']]],
['mclk_5fapbbmask_5fusb_5fpos_5576',['MCLK_APBBMASK_USB_Pos',['../component_2mclk_8h.html#af21d2c3cd0e5edba8c2ad9db99d97a37',1,'mclk.h']]],
['mclk_5fapbcmask_5fac_5fpos_5577',['MCLK_APBCMASK_AC_Pos',['../component_2mclk_8h.html#ae827c5e608f07904b393f4d5fcff1e48',1,'mclk.h']]],
['mclk_5fapbcmask_5faes_5fpos_5578',['MCLK_APBCMASK_AES_Pos',['../component_2mclk_8h.html#afe03bc583599946038f7e2de91be340c',1,'mclk.h']]],
['mclk_5fapbcmask_5fccl_5fpos_5579',['MCLK_APBCMASK_CCL_Pos',['../component_2mclk_8h.html#a4759ecc97b02d665427b2cb1a198e827',1,'mclk.h']]],
['mclk_5fapbcmask_5fgmac_5fpos_5580',['MCLK_APBCMASK_GMAC_Pos',['../component_2mclk_8h.html#aef22e33ee071b1ab1e044ebfec61ecc3',1,'mclk.h']]],
['mclk_5fapbcmask_5ficm_5fpos_5581',['MCLK_APBCMASK_ICM_Pos',['../component_2mclk_8h.html#ac1c36e384d318f08af381e7cf4e0b0f4',1,'mclk.h']]],
['mclk_5fapbcmask_5fmask_5582',['MCLK_APBCMASK_MASK',['../component_2mclk_8h.html#aecd8f6d7a986889c2f43a65a506bd42e',1,'mclk.h']]],
['mclk_5fapbcmask_5foffset_5583',['MCLK_APBCMASK_OFFSET',['../component_2mclk_8h.html#a9adc77e1bade5beba117c02998b80574',1,'mclk.h']]],
['mclk_5fapbcmask_5fpdec_5fpos_5584',['MCLK_APBCMASK_PDEC_Pos',['../component_2mclk_8h.html#a18d7a4cb62e3d42e28bb3d1824cf8898',1,'mclk.h']]],
['mclk_5fapbcmask_5fqspi_5fpos_5585',['MCLK_APBCMASK_QSPI_Pos',['../component_2mclk_8h.html#ab7812fa73c364fea3539d9ac04cf0bf7',1,'mclk.h']]],
['mclk_5fapbcmask_5fresetvalue_5586',['MCLK_APBCMASK_RESETVALUE',['../component_2mclk_8h.html#ad844382addaec4552ab75518ff2d7e74',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc4_5fpos_5587',['MCLK_APBCMASK_TC4_Pos',['../component_2mclk_8h.html#a7c886e1ff64370722c150d44200b66df',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc5_5fpos_5588',['MCLK_APBCMASK_TC5_Pos',['../component_2mclk_8h.html#a0da39e4b06b949c2cbb3e9b54637fb53',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc2_5fpos_5589',['MCLK_APBCMASK_TCC2_Pos',['../component_2mclk_8h.html#a4f871f80238ad9bbb73e2f8656a9e59d',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc3_5fpos_5590',['MCLK_APBCMASK_TCC3_Pos',['../component_2mclk_8h.html#a6be7119f990531600e4f658bd3df0c0a',1,'mclk.h']]],
['mclk_5fapbcmask_5ftrng_5fpos_5591',['MCLK_APBCMASK_TRNG_Pos',['../component_2mclk_8h.html#a398240eb1bf125624011e74222087b4f',1,'mclk.h']]],
['mclk_5fapbcmask_5ftype_5592',['MCLK_APBCMASK_Type',['../unionMCLK__APBCMASK__Type.html',1,'']]],
['mclk_5fapbdmask_5fadc0_5fpos_5593',['MCLK_APBDMASK_ADC0_Pos',['../component_2mclk_8h.html#aaa0f2c5eb74e0b65cc4338f29f2d69c4',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc1_5fpos_5594',['MCLK_APBDMASK_ADC1_Pos',['../component_2mclk_8h.html#aebfa8b7e9a270d649771d8f420fbc2e2',1,'mclk.h']]],
['mclk_5fapbdmask_5fdac_5fpos_5595',['MCLK_APBDMASK_DAC_Pos',['../component_2mclk_8h.html#a2d480786af05fb2fd66e9c85a987f855',1,'mclk.h']]],
['mclk_5fapbdmask_5fi2s_5fpos_5596',['MCLK_APBDMASK_I2S_Pos',['../component_2mclk_8h.html#a7675f312a66e9078d114360abaca0d69',1,'mclk.h']]],
['mclk_5fapbdmask_5fmask_5597',['MCLK_APBDMASK_MASK',['../component_2mclk_8h.html#ad7749cd8272a2d7fdb3faaeaa99e5268',1,'mclk.h']]],
['mclk_5fapbdmask_5foffset_5598',['MCLK_APBDMASK_OFFSET',['../component_2mclk_8h.html#a7a00f8f628fc059b0ffcc36d2343c212',1,'mclk.h']]],
['mclk_5fapbdmask_5fpcc_5fpos_5599',['MCLK_APBDMASK_PCC_Pos',['../component_2mclk_8h.html#ad4290756f92692a42c7bb1cfaf487d0f',1,'mclk.h']]],
['mclk_5fapbdmask_5fresetvalue_5600',['MCLK_APBDMASK_RESETVALUE',['../component_2mclk_8h.html#affe228d4015871770d9c2ac2d3e23349',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom4_5fpos_5601',['MCLK_APBDMASK_SERCOM4_Pos',['../component_2mclk_8h.html#ae97f2a7876a603ea76ee86f5e099c269',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom5_5fpos_5602',['MCLK_APBDMASK_SERCOM5_Pos',['../component_2mclk_8h.html#a0860f3d77409f156d996a776493af3a9',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom6_5fpos_5603',['MCLK_APBDMASK_SERCOM6_Pos',['../component_2mclk_8h.html#a1d520be70a0ed4fca667c58341d99620',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom7_5fpos_5604',['MCLK_APBDMASK_SERCOM7_Pos',['../component_2mclk_8h.html#a7d21e2207d86f11b75eb201011fee80e',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc6_5fpos_5605',['MCLK_APBDMASK_TC6_Pos',['../component_2mclk_8h.html#a5e5067b0ea3b8d950adeee60c7e201ef',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc7_5fpos_5606',['MCLK_APBDMASK_TC7_Pos',['../component_2mclk_8h.html#a6ea8876f7f253392f0aa16da779c4d8b',1,'mclk.h']]],
['mclk_5fapbdmask_5ftcc4_5fpos_5607',['MCLK_APBDMASK_TCC4_Pos',['../component_2mclk_8h.html#a11586f55c55a915e5d5ed0f8986abf5d',1,'mclk.h']]],
['mclk_5fapbdmask_5ftype_5608',['MCLK_APBDMASK_Type',['../unionMCLK__APBDMASK__Type.html',1,'']]],
['mclk_5fcpudiv_5fdiv_5fdiv128_5fval_5609',['MCLK_CPUDIV_DIV_DIV128_Val',['../component_2mclk_8h.html#a4cfc1f32942c736f236075c22f1a4f81',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv16_5fval_5610',['MCLK_CPUDIV_DIV_DIV16_Val',['../component_2mclk_8h.html#ae681abf45271d52e20032f75fde3e7a4',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv1_5fval_5611',['MCLK_CPUDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#ae007ace127ccf52e63918793f78229b6',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv2_5fval_5612',['MCLK_CPUDIV_DIV_DIV2_Val',['../component_2mclk_8h.html#a86452934ee34c2237b4cb0a296bc0734',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv32_5fval_5613',['MCLK_CPUDIV_DIV_DIV32_Val',['../component_2mclk_8h.html#a19e3476ae76abd38b0eac2f62dd7be46',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv4_5fval_5614',['MCLK_CPUDIV_DIV_DIV4_Val',['../component_2mclk_8h.html#a0d458738f455dcb54c5aa2d0ffcfa616',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv64_5fval_5615',['MCLK_CPUDIV_DIV_DIV64_Val',['../component_2mclk_8h.html#aa91c616d0c486e08497d547619896a98',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv8_5fval_5616',['MCLK_CPUDIV_DIV_DIV8_Val',['../component_2mclk_8h.html#a67de4295c7d075c089f0c0280f95002b',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fpos_5617',['MCLK_CPUDIV_DIV_Pos',['../component_2mclk_8h.html#a4e0248a576cb2763bf8271787d56e3d6',1,'mclk.h']]],
['mclk_5fcpudiv_5fmask_5618',['MCLK_CPUDIV_MASK',['../component_2mclk_8h.html#ab671f30e14e4976663447bcdf727ea01',1,'mclk.h']]],
['mclk_5fcpudiv_5foffset_5619',['MCLK_CPUDIV_OFFSET',['../component_2mclk_8h.html#a6b856556e549869e608b1c9d9ca335ff',1,'mclk.h']]],
['mclk_5fcpudiv_5fresetvalue_5620',['MCLK_CPUDIV_RESETVALUE',['../component_2mclk_8h.html#ad5b446415ed860f94d2368945fa4b698',1,'mclk.h']]],
['mclk_5fcpudiv_5ftype_5621',['MCLK_CPUDIV_Type',['../unionMCLK__CPUDIV__Type.html',1,'']]],
['mclk_5fhsdiv_5fdiv_5fdiv1_5fval_5622',['MCLK_HSDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#a119ab5a20e98782d805cdf5c4501e712',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fpos_5623',['MCLK_HSDIV_DIV_Pos',['../component_2mclk_8h.html#a95894d35a451f22d21907122d7459c7c',1,'mclk.h']]],
['mclk_5fhsdiv_5fmask_5624',['MCLK_HSDIV_MASK',['../component_2mclk_8h.html#aaacdcc773f6047747e0c5be7e2f8e617',1,'mclk.h']]],
['mclk_5fhsdiv_5foffset_5625',['MCLK_HSDIV_OFFSET',['../component_2mclk_8h.html#ae0971c09918d1056399fd86900aa4890',1,'mclk.h']]],
['mclk_5fhsdiv_5fresetvalue_5626',['MCLK_HSDIV_RESETVALUE',['../component_2mclk_8h.html#ae6d3e536fec3cc26aaa6cd78c17d497b',1,'mclk.h']]],
['mclk_5fhsdiv_5ftype_5627',['MCLK_HSDIV_Type',['../unionMCLK__HSDIV__Type.html',1,'']]],
['mclk_5finst_5fnum_5628',['MCLK_INST_NUM',['../same54n19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p20a.h']]],
['mclk_5finsts_5629',['MCLK_INSTS',['../same54n19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p20a.h']]],
['mclk_5fintenclr_5fckrdy_5fpos_5630',['MCLK_INTENCLR_CKRDY_Pos',['../component_2mclk_8h.html#aebdcc599e953e54697b2e40b5397496b',1,'mclk.h']]],
['mclk_5fintenclr_5fmask_5631',['MCLK_INTENCLR_MASK',['../component_2mclk_8h.html#a6389053110a48b3e28a1856e9379af38',1,'mclk.h']]],
['mclk_5fintenclr_5foffset_5632',['MCLK_INTENCLR_OFFSET',['../component_2mclk_8h.html#a68637b3f1fed0ccd3ae371c53992e406',1,'mclk.h']]],
['mclk_5fintenclr_5fresetvalue_5633',['MCLK_INTENCLR_RESETVALUE',['../component_2mclk_8h.html#ad173bb9dba9e1522a644dbde879829d4',1,'mclk.h']]],
['mclk_5fintenclr_5ftype_5634',['MCLK_INTENCLR_Type',['../unionMCLK__INTENCLR__Type.html',1,'']]],
['mclk_5fintenset_5fckrdy_5fpos_5635',['MCLK_INTENSET_CKRDY_Pos',['../component_2mclk_8h.html#ab9fefb6acd254d502c9ea81d010831ff',1,'mclk.h']]],
['mclk_5fintenset_5fmask_5636',['MCLK_INTENSET_MASK',['../component_2mclk_8h.html#aa5b62ad35b9bc2c164fea2457115e2b8',1,'mclk.h']]],
['mclk_5fintenset_5foffset_5637',['MCLK_INTENSET_OFFSET',['../component_2mclk_8h.html#ab0258927c23f68d0f403a91f68fdc1a8',1,'mclk.h']]],
['mclk_5fintenset_5fresetvalue_5638',['MCLK_INTENSET_RESETVALUE',['../component_2mclk_8h.html#a1a1d204e6b63e6fb14793fad6be480b3',1,'mclk.h']]],
['mclk_5fintenset_5ftype_5639',['MCLK_INTENSET_Type',['../unionMCLK__INTENSET__Type.html',1,'']]],
['mclk_5fintflag_5fckrdy_5fpos_5640',['MCLK_INTFLAG_CKRDY_Pos',['../component_2mclk_8h.html#abef328de34a9da476fa9a626643999e5',1,'mclk.h']]],
['mclk_5fintflag_5fmask_5641',['MCLK_INTFLAG_MASK',['../component_2mclk_8h.html#a4d2f239e68ff5fba4b25397d91bed74a',1,'mclk.h']]],
['mclk_5fintflag_5foffset_5642',['MCLK_INTFLAG_OFFSET',['../component_2mclk_8h.html#af56eeb6ada43fe94d261d6d04336635b',1,'mclk.h']]],
['mclk_5fintflag_5fresetvalue_5643',['MCLK_INTFLAG_RESETVALUE',['../component_2mclk_8h.html#a8e5308a9ba8280b0e4f121e9d65a36a4',1,'mclk.h']]],
['mclk_5fintflag_5ftype_5644',['MCLK_INTFLAG_Type',['../unionMCLK__INTFLAG__Type.html',1,'']]],
['mclk_5firqn_5645',['MCLK_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p20a.h']]],
['mcol_5646',['MCOL',['../unionGMAC__MCF__Type.html#a4949c0eacc5234ca504f0872b216b3de',1,'GMAC_MCF_Type']]],
['mctrl_5647',['MCTRL',['../structCmcc.html#a0c4e011510e5e06185b19848f81dd0c9',1,'Cmcc']]],
['mdio_5648',['MDIO',['../unionGMAC__NSR__Type.html#a808644ffdb87f58d0ad3a89bf112fbec',1,'GMAC_NSR_Type']]],
['memdis_5649',['MEMDIS',['../unionPICOP__MMUCTRL__Type.html#aedc6bff32eb6cb31628a0cfa1fff85f4',1,'PICOP_MMUCTRL_Type']]],
['memorymanagement_5firqn_5650',['MemoryManagement_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p20a.h']]],
['memtype_5651',['MEMTYPE',['../structDsu.html#a408d8afc257ed78a3169ca958d24a5aa',1,'Dsu']]],
['men_5652',['MEN',['../structCmcc.html#a4aadc35cd008a8b59ccbef0afd787cca',1,'Cmcc']]],
['menable_5653',['MENABLE',['../unionCMCC__MEN__Type.html#a5017cb590c50ab9797a5e15e05dc7700',1,'CMCC_MEN_Type']]],
['mexttoen_5654',['MEXTTOEN',['../unionSERCOM__I2CM__CTRLA__Type.html#a6b694b1cdd1a005f9567f407361f9fc5',1,'SERCOM_I2CM_CTRLA_Type']]],
['mexttout_5655',['MEXTTOUT',['../unionSERCOM__I2CM__STATUS__Type.html#ae1b41f149decc65488a27b1027ed6098',1,'SERCOM_I2CM_STATUS_Type']]],
['mfnum_5656',['MFNUM',['../unionUSB__DEVICE__FNUM__Type.html#a8ca484982b4959e1ce5552172ee923bf',1,'USB_DEVICE_FNUM_Type::MFNUM()'],['../unionUSB__HOST__FNUM__Type.html#a0bff63e78a104c1486369719ff58bd18',1,'USB_HOST_FNUM_Type::MFNUM()']]],
['mfr_5657',['MFR',['../structGmac.html#a861cd98eb64120f136645080e39de788',1,'Gmac']]],
['mfrx_5658',['MFRX',['../unionGMAC__MFR__Type.html#ab68dacebfbfd51ca74105e9d4fa3673d',1,'GMAC_MFR_Type']]],
['mfs_5659',['MFS',['../unionGMAC__ISR__Type.html#ae91211a48e5372676ca3f44964a58f5c',1,'GMAC_ISR_Type::MFS()'],['../unionGMAC__IER__Type.html#adc6a86e27026c46c05ae82ef33deffa5',1,'GMAC_IER_Type::MFS()'],['../unionGMAC__IDR__Type.html#a200fbc202d1fb8f03081a70d2f5e4a0d',1,'GMAC_IDR_Type::MFS()'],['../unionGMAC__IMR__Type.html#a02a0d65563e780c8a180bf4f4004d8d3',1,'GMAC_IMR_Type::MFS()']]],
['mft_5660',['MFT',['../structGmac.html#a2a129a797408afef53f5f2711230c606',1,'Gmac']]],
['mftx_5661',['MFTX',['../unionGMAC__MFT__Type.html#a32bf5dd2903516a35bfe54a8bbd204cf',1,'GMAC_MFT_Type']]],
['mii_5662',['MII',['../unionGMAC__UR__Type.html#af0a720044504f499f7ddaee607b22539',1,'GMAC_UR_Type']]],
['minute_5663',['MINUTE',['../unionRTC__MODE2__CLOCK__Type.html#a32a992d0d81027c5443ba2a7a0c4f974',1,'RTC_MODE2_CLOCK_Type::MINUTE()'],['../unionRTC__MODE2__ALARM__Type.html#af4c6c678c2a15428745bbe7dbb5b56dd',1,'RTC_MODE2_ALARM_Type::MINUTE()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a7c809cbf6e97444c434637c0e01731d4',1,'RTC_MODE2_TIMESTAMP_Type::MINUTE()']]],
['mm_5664',['MM',['../unionCAN__TXBE__1__Type.html#a7adac836f59c2fc70c7b73b09b38d45b',1,'CAN_TXBE_1_Type::MM()'],['../unionCAN__TXEFE__1__Type.html#a4517df507d011dc9e1f457ce91062c16',1,'CAN_TXEFE_1_Type::MM()']]],
['mmu0_5665',['MMU0',['../structPicop.html#a4b019cca1c976fa47fcda394db203e50',1,'Picop']]],
['mmu1_5666',['MMU1',['../structPicop.html#acb04d87fb9f3a9f52732243fa5a9846f',1,'Picop']]],
['mmuctrl_5667',['MMUCTRL',['../structPicop.html#ad781f325652dffdb3e472aa8ed09aa60',1,'Picop']]],
['mmuflash_5668',['MMUFLASH',['../structPicop.html#a052b6a7a264ff7f6ebf6e8b5fe36f1af',1,'Picop']]],
['mode_5669',['MODE',['../unionCMCC__MCFG__Type.html#aee931ba78eb83e697fad986a4130e510',1,'CMCC_MCFG_Type::MODE()'],['../unionOSCCTRL__DFLLCTRLB__Type.html#ad073fa411491617af1aac6d332e32a01',1,'OSCCTRL_DFLLCTRLB_Type::MODE()'],['../unionPDEC__CTRLA__Type.html#ad660b0cfe70d97caecd0f20b1065e7cc',1,'PDEC_CTRLA_Type::MODE()'],['../unionQSPI__CTRLB__Type.html#a40b9f8126928b0a8cc5daa6402d10de8',1,'QSPI_CTRLB_Type::MODE()'],['../unionRTC__MODE0__CTRLA__Type.html#a19a80ce3140e4780a595513133e89751',1,'RTC_MODE0_CTRLA_Type::MODE()'],['../unionRTC__MODE1__CTRLA__Type.html#a086815908af6208ba632276828ef7450',1,'RTC_MODE1_CTRLA_Type::MODE()'],['../unionRTC__MODE2__CTRLA__Type.html#abe9ece5a9e4173ecbbca08b7ed9bd7b7',1,'RTC_MODE2_CTRLA_Type::MODE()'],['../unionSERCOM__I2CM__CTRLA__Type.html#a3fb961c4be03ac61a765f7b564f7acf4',1,'SERCOM_I2CM_CTRLA_Type::MODE()'],['../unionSERCOM__I2CS__CTRLA__Type.html#a71c8f61b9ffca9114e27c78fc93282c7',1,'SERCOM_I2CS_CTRLA_Type::MODE()'],['../unionSERCOM__SPI__CTRLA__Type.html#ae23d24939de9a66920e2f0c4158b2199',1,'SERCOM_SPI_CTRLA_Type::MODE()'],['../unionSERCOM__USART__CTRLA__Type.html#a07f6751ebca8342016885c406fce324d',1,'SERCOM_USART_CTRLA_Type::MODE()'],['../unionTC__CTRLA__Type.html#a7b2911772bdbadd9d3a670183a36a81b',1,'TC_CTRLA_Type::MODE()'],['../unionUSB__CTRLA__Type.html#a23a99daca797d764f3e54f15bc3444a3',1,'USB_CTRLA_Type::MODE()']]],
['mode0_5670',['MODE0',['../unionRtc.html#a02141b27492423d9289f41b7d95ffad9',1,'Rtc']]],
['mode1_5671',['MODE1',['../unionRtc.html#a6d79941381ca27f822f5413a6c313e1a',1,'Rtc']]],
['mode2_5672',['MODE2',['../unionRtc.html#adf0f631b6be9719d97505ccbccdf3def',1,'Rtc']]],
['mode2alarm_5673',['Mode2Alarm',['../structRtcMode2.html#abeff3c405dbd6ed786526afc55029820',1,'RtcMode2']]],
['mon_5674',['MON',['../unionCAN__CCCR__Type.html#a2853a1d02a67c63c301812f575540f0b',1,'CAN_CCCR_Type']]],
['mono_5675',['MONO',['../unionI2S__TXCTRL__Type.html#a6b8a09a6581f03229a9dfeace12ff458',1,'I2S_TXCTRL_Type::MONO()'],['../unionI2S__RXCTRL__Type.html#a919dc55b450ace43bbf9aa7a2fa74de4',1,'I2S_RXCTRL_Type::MONO()']]],
['month_5676',['MONTH',['../unionRTC__MODE2__CLOCK__Type.html#a967c10b3863fa21508bd35cfcc8508f8',1,'RTC_MODE2_CLOCK_Type::MONTH()'],['../unionRTC__MODE2__ALARM__Type.html#ac07b07bfe235d77ec2e00ce470321cc6',1,'RTC_MODE2_ALARM_Type::MONTH()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a8d9781e7c4414278e31ba00edc8fc334',1,'RTC_MODE2_TIMESTAMP_Type::MONTH()']]],
['mpe_5677',['MPE',['../unionGMAC__NCR__Type.html#a896b6b2cf4904c2b6878a1f9089c838b',1,'GMAC_NCR_Type']]],
['mperr_5678',['MPERR',['../unionPDEC__STATUS__Type.html#a7e57a79e098f6ac3b08b11a9f1b419da',1,'PDEC_STATUS_Type']]],
['mr_5679',['MR',['../structPcc.html#ae240887b2bf7144186f620fe54b7b4ce',1,'Pcc']]],
['mraf_5680',['MRAF',['../unionCAN__IR__Type.html#ad8596c3b960fbb516a9c0adfc5ee1406',1,'CAN_IR_Type']]],
['mrafe_5681',['MRAFE',['../unionCAN__IE__Type.html#ac1d21e8221398c5849c6c20f7dacf8e7',1,'CAN_IE_Type']]],
['mrafl_5682',['MRAFL',['../unionCAN__ILS__Type.html#ad0b3ef7d549317808a26f87b802514eb',1,'CAN_ILS_Type']]],
['mrcfg_5683',['MRCFG',['../structCan.html#aab7fa0a31ab04ae87051dc557ddeb694',1,'Can']]],
['mrprot_5684',['MRPROT',['../unionICM__RCFG__Type.html#af91f6b6068d77e08fe214ca1646c6c9f',1,'ICM_RCFG_Type']]],
['msbsel_5685',['MSBSEL',['../unionSDHC__TMR__Type.html#afa470ad341ca8437713b453f478f247e',1,'SDHC_TMR_Type']]],
['msi_5686',['MSI',['../unionCAN__HPMS__Type.html#ac754ab9641d33fbf4dce590b0de24e7f',1,'CAN_HPMS_Type']]],
['msof_5687',['MSOF',['../unionUSB__DEVICE__INTENCLR__Type.html#aaddc4ad7905d6c4a7eb7b949b199df27',1,'USB_DEVICE_INTENCLR_Type::MSOF()'],['../unionUSB__DEVICE__INTENSET__Type.html#a0620c305dbddb2dc60f78be53827fec9',1,'USB_DEVICE_INTENSET_Type::MSOF()'],['../unionUSB__DEVICE__INTFLAG__Type.html#af8848629b3d4cf7109e36051c47d4848',1,'USB_DEVICE_INTFLAG_Type::MSOF()']]],
['msr_5688',['MSR',['../structCmcc.html#a38272d8b430ad87ce4552ac7236255b6',1,'Cmcc']]],
['mssen_5689',['MSSEN',['../unionSERCOM__SPI__CTRLB__Type.html#a32fca1f5ab3696002ac1e65003f5d1af',1,'SERCOM_SPI_CTRLB_Type']]],
['msync_5690',['MSYNC',['../unionTCC__CTRLA__Type.html#ab4fe6ffa39ad80b8fec4b73891eba95f',1,'TCC_CTRLA_Type']]],
['mti_5691',['MTI',['../unionGMAC__WOL__Type.html#a7217d9d1a8739632a002e9b0902e22dd',1,'GMAC_WOL_Type']]],
['mtihen_5692',['MTIHEN',['../unionGMAC__NCFGR__Type.html#aaba1df829a06512ca61f122d4d0247a8',1,'GMAC_NCFGR_Type']]],
['mul_5693',['MUL',['../unionOSCCTRL__DFLLMUL__Type.html#a953ebf2488a6a6a109274e86f3f595e3',1,'OSCCTRL_DFLLMUL_Type']]],
['multi_5fpacket_5fsize_5694',['MULTI_PACKET_SIZE',['../unionUSB__DEVICE__PCKSIZE__Type.html#a3c171c61d6be97511d0fcc116256bd3c',1,'USB_DEVICE_PCKSIZE_Type::MULTI_PACKET_SIZE()'],['../unionUSB__HOST__PCKSIZE__Type.html#a6446c0ab7f470bd2ef0cc06c3897a68e',1,'USB_HOST_PCKSIZE_Type::MULTI_PACKET_SIZE()']]],
['muxneg_5695',['MUXNEG',['../unionAC__COMPCTRL__Type.html#a46f2e7662c18b2108a2c8de43c00b39f',1,'AC_COMPCTRL_Type::MUXNEG()'],['../unionADC__INPUTCTRL__Type.html#a0a7d8e4a1fae39ce46e4bab2d96fa83f',1,'ADC_INPUTCTRL_Type::MUXNEG()']]],
['muxpos_5696',['MUXPOS',['../unionAC__COMPCTRL__Type.html#aa4274235084b7d672bf0f4c08f214050',1,'AC_COMPCTRL_Type::MUXPOS()'],['../unionADC__INPUTCTRL__Type.html#a01f939ab84b6f9ce9432d408abcf7312',1,'ADC_INPUTCTRL_Type::MUXPOS()']]],
['main_20clock_5697',['Main Clock',['../group__SAME54__MCLK.html',1,'']]]
];

@ -1,314 +1,314 @@
var searchData=
[
['nanosec_5663',['NANOSEC',['../unionGMAC__NSC__Type.html#a7f821f4c3b0856d99641a6497b32060b',1,'GMAC_NSC_Type']]],
['nbc_5664',['NBC',['../unionGMAC__NCFGR__Type.html#ac056cf80ad49fdb82d0f543c74cd85f4',1,'GMAC_NCFGR_Type']]],
['nbrp_5665',['NBRP',['../unionCAN__NBTP__Type.html#a2b0eae86870f3afb2c48ad5528bd7f97',1,'CAN_NBTP_Type']]],
['nbslots_5666',['NBSLOTS',['../unionI2S__CLKCTRL__Type.html#ae0115c3a7792d5cdf7892a74faef5a5c',1,'I2S_CLKCTRL_Type']]],
['nbtp_5667',['NBTP',['../structCan.html#a3f7233071c69fdc2310af0eba0db5c30',1,'Can']]],
['ncfgr_5668',['NCFGR',['../structGmac.html#a8e12dc5694dcf5b307aefb8845d2561a',1,'Gmac']]],
['ncr_5669',['NCR',['../structGmac.html#ada472f5a6fc9fc9de79c249b78a03c73',1,'Gmac']]],
['nd0_5670',['ND0',['../unionCAN__NDAT1__Type.html#aa8dbb4de5be66a01e410edb385963c15',1,'CAN_NDAT1_Type']]],
['nd1_5671',['ND1',['../unionCAN__NDAT1__Type.html#a1d29745d48caa035852da46af9cb62d1',1,'CAN_NDAT1_Type']]],
['nd10_5672',['ND10',['../unionCAN__NDAT1__Type.html#ac75aabc669b7acdfc7aad76a9b604fb6',1,'CAN_NDAT1_Type']]],
['nd11_5673',['ND11',['../unionCAN__NDAT1__Type.html#a39a9b6ac676458b6c06d559411151de5',1,'CAN_NDAT1_Type']]],
['nd12_5674',['ND12',['../unionCAN__NDAT1__Type.html#a1a5be7839b9ca624ae6cfb9796e1b01d',1,'CAN_NDAT1_Type']]],
['nd13_5675',['ND13',['../unionCAN__NDAT1__Type.html#aeb28529c6685ddd26bd68f04816c6a3b',1,'CAN_NDAT1_Type']]],
['nd14_5676',['ND14',['../unionCAN__NDAT1__Type.html#a592592a23b67369f8348fcf7c0129f15',1,'CAN_NDAT1_Type']]],
['nd15_5677',['ND15',['../unionCAN__NDAT1__Type.html#aea9f5dd3484ab3af1acb87b2dab864ea',1,'CAN_NDAT1_Type']]],
['nd16_5678',['ND16',['../unionCAN__NDAT1__Type.html#ac53d27d8fa15ef79ae1f0684a898fac2',1,'CAN_NDAT1_Type']]],
['nd17_5679',['ND17',['../unionCAN__NDAT1__Type.html#aba00b9fde9928935bf3ee85dd0be4325',1,'CAN_NDAT1_Type']]],
['nd18_5680',['ND18',['../unionCAN__NDAT1__Type.html#ae67ee999dc53c45ed556ffcfb048cd7e',1,'CAN_NDAT1_Type']]],
['nd19_5681',['ND19',['../unionCAN__NDAT1__Type.html#ad0febfa825a8e4234e076ee8edb94282',1,'CAN_NDAT1_Type']]],
['nd2_5682',['ND2',['../unionCAN__NDAT1__Type.html#a2fd4ed6c6fb831b2b8dae084a9a618f7',1,'CAN_NDAT1_Type']]],
['nd20_5683',['ND20',['../unionCAN__NDAT1__Type.html#a37cb56c90000551a22ed6fb83e74e16e',1,'CAN_NDAT1_Type']]],
['nd21_5684',['ND21',['../unionCAN__NDAT1__Type.html#a3a7280f9810ecb6f13390f3b71c48c4e',1,'CAN_NDAT1_Type']]],
['nd22_5685',['ND22',['../unionCAN__NDAT1__Type.html#ac6ebc50e17e85be1f187a9503e6453b9',1,'CAN_NDAT1_Type']]],
['nd23_5686',['ND23',['../unionCAN__NDAT1__Type.html#ac5c73b8d3d2c5259d72a5e9947f27d80',1,'CAN_NDAT1_Type']]],
['nd24_5687',['ND24',['../unionCAN__NDAT1__Type.html#a4f579b9e6ba54b6bc4d3f10fcb4e64f7',1,'CAN_NDAT1_Type']]],
['nd25_5688',['ND25',['../unionCAN__NDAT1__Type.html#a6e9caa4c70ad1c09483a14bcfd13f950',1,'CAN_NDAT1_Type']]],
['nd26_5689',['ND26',['../unionCAN__NDAT1__Type.html#a5c4e4ab19bf39e462de2d8d070ea8595',1,'CAN_NDAT1_Type']]],
['nd27_5690',['ND27',['../unionCAN__NDAT1__Type.html#aabb1ebaf77c2c211259aadf7ed00d6ff',1,'CAN_NDAT1_Type']]],
['nd28_5691',['ND28',['../unionCAN__NDAT1__Type.html#a2b86f63b4adbaa6d2fc58b9dc7932f8c',1,'CAN_NDAT1_Type']]],
['nd29_5692',['ND29',['../unionCAN__NDAT1__Type.html#a7d01093af522c936c244dff92c9f66da',1,'CAN_NDAT1_Type']]],
['nd3_5693',['ND3',['../unionCAN__NDAT1__Type.html#a8b1a644c718c96edb92e27c46f4c1c7f',1,'CAN_NDAT1_Type']]],
['nd30_5694',['ND30',['../unionCAN__NDAT1__Type.html#a16aaebb066d86bdee981c4382bd70da2',1,'CAN_NDAT1_Type']]],
['nd31_5695',['ND31',['../unionCAN__NDAT1__Type.html#a5d73b0b426524a6921a78713e265142d',1,'CAN_NDAT1_Type']]],
['nd32_5696',['ND32',['../unionCAN__NDAT2__Type.html#a51108b34eb4cb7181a721af254b147c1',1,'CAN_NDAT2_Type']]],
['nd33_5697',['ND33',['../unionCAN__NDAT2__Type.html#a27fc8977ef53dfdb2bb1ccbc181e24f9',1,'CAN_NDAT2_Type']]],
['nd34_5698',['ND34',['../unionCAN__NDAT2__Type.html#add70af2d04c83fafda9f4f0ac028d4bd',1,'CAN_NDAT2_Type']]],
['nd35_5699',['ND35',['../unionCAN__NDAT2__Type.html#aef84e40a214d94c3bdd48e3040c30bc4',1,'CAN_NDAT2_Type']]],
['nd36_5700',['ND36',['../unionCAN__NDAT2__Type.html#a16c67a1940d141335aafa3758f3b859e',1,'CAN_NDAT2_Type']]],
['nd37_5701',['ND37',['../unionCAN__NDAT2__Type.html#a618e0c9494a24a312a8d05cce1bb68ea',1,'CAN_NDAT2_Type']]],
['nd38_5702',['ND38',['../unionCAN__NDAT2__Type.html#a73d1ca429815e9ecf56961ee98c2f277',1,'CAN_NDAT2_Type']]],
['nd39_5703',['ND39',['../unionCAN__NDAT2__Type.html#a41c8c7128165cbb38e1de96e29967508',1,'CAN_NDAT2_Type']]],
['nd4_5704',['ND4',['../unionCAN__NDAT1__Type.html#a640d29719f639fc473733f3ae05a98e0',1,'CAN_NDAT1_Type']]],
['nd40_5705',['ND40',['../unionCAN__NDAT2__Type.html#ae6a1e188f1a8553caa35b20c9c6f9b60',1,'CAN_NDAT2_Type']]],
['nd41_5706',['ND41',['../unionCAN__NDAT2__Type.html#ac959f2d03d24028cab2a7e59fd4e0c21',1,'CAN_NDAT2_Type']]],
['nd42_5707',['ND42',['../unionCAN__NDAT2__Type.html#a169fa8fb82cc6cadaf1b38f2cd2de374',1,'CAN_NDAT2_Type']]],
['nd43_5708',['ND43',['../unionCAN__NDAT2__Type.html#afafb3aa31c9a30fd8ed50850840d1329',1,'CAN_NDAT2_Type']]],
['nd44_5709',['ND44',['../unionCAN__NDAT2__Type.html#aa74e01e9005440dc780fd7af7645a84d',1,'CAN_NDAT2_Type']]],
['nd45_5710',['ND45',['../unionCAN__NDAT2__Type.html#a8c1d7a46c8b261e06e81f5c4116ad4b9',1,'CAN_NDAT2_Type']]],
['nd46_5711',['ND46',['../unionCAN__NDAT2__Type.html#a90adcc7573c619ef703f5d836cc75981',1,'CAN_NDAT2_Type']]],
['nd47_5712',['ND47',['../unionCAN__NDAT2__Type.html#acdabd303365dbd9a522d19208fa10f0c',1,'CAN_NDAT2_Type']]],
['nd48_5713',['ND48',['../unionCAN__NDAT2__Type.html#a5d7e5389e03047c8b20e1d830a691297',1,'CAN_NDAT2_Type']]],
['nd49_5714',['ND49',['../unionCAN__NDAT2__Type.html#ad1a4b374d2cc1716663763bb53f8269b',1,'CAN_NDAT2_Type']]],
['nd5_5715',['ND5',['../unionCAN__NDAT1__Type.html#a15da06418318878a06256c823a100d98',1,'CAN_NDAT1_Type']]],
['nd50_5716',['ND50',['../unionCAN__NDAT2__Type.html#ae0e50de6414b1968704513e495679ca9',1,'CAN_NDAT2_Type']]],
['nd51_5717',['ND51',['../unionCAN__NDAT2__Type.html#a15baa506a5f5576f7c40aa9d45f6ead5',1,'CAN_NDAT2_Type']]],
['nd52_5718',['ND52',['../unionCAN__NDAT2__Type.html#ae97cbf702a0880f64a74c173d229bb9f',1,'CAN_NDAT2_Type']]],
['nd53_5719',['ND53',['../unionCAN__NDAT2__Type.html#ab73a15a811f828ffdccfbafaf7b9b6ec',1,'CAN_NDAT2_Type']]],
['nd54_5720',['ND54',['../unionCAN__NDAT2__Type.html#a92b0650627d7be89a21fa603feb467a1',1,'CAN_NDAT2_Type']]],
['nd55_5721',['ND55',['../unionCAN__NDAT2__Type.html#a6e6562f2d72e2b1090c07b765dd67400',1,'CAN_NDAT2_Type']]],
['nd56_5722',['ND56',['../unionCAN__NDAT2__Type.html#a0b98baaaba55e5d4a03ec5d168226460',1,'CAN_NDAT2_Type']]],
['nd57_5723',['ND57',['../unionCAN__NDAT2__Type.html#a0570790b255b30a72253203ca06a337f',1,'CAN_NDAT2_Type']]],
['nd58_5724',['ND58',['../unionCAN__NDAT2__Type.html#a1ccfa7dd2349ee879f92f6e847ba2b13',1,'CAN_NDAT2_Type']]],
['nd59_5725',['ND59',['../unionCAN__NDAT2__Type.html#aab708b6906d1e3cdbbfae47937ad9a52',1,'CAN_NDAT2_Type']]],
['nd6_5726',['ND6',['../unionCAN__NDAT1__Type.html#a3c7b85a0716c2ad029ab717f56f3b548',1,'CAN_NDAT1_Type']]],
['nd60_5727',['ND60',['../unionCAN__NDAT2__Type.html#a420b68c310c622b8026ec3430d201fd5',1,'CAN_NDAT2_Type']]],
['nd61_5728',['ND61',['../unionCAN__NDAT2__Type.html#a9985e53bfeb237a7172e53605a99eb09',1,'CAN_NDAT2_Type']]],
['nd62_5729',['ND62',['../unionCAN__NDAT2__Type.html#aad301d69eafbc50e0122dab5bf1be3a6',1,'CAN_NDAT2_Type']]],
['nd63_5730',['ND63',['../unionCAN__NDAT2__Type.html#a03fc83fba97303152e6bdfc7b95aba60',1,'CAN_NDAT2_Type']]],
['nd7_5731',['ND7',['../unionCAN__NDAT1__Type.html#ad7bc5c8a887fefd58d77010a8c496211',1,'CAN_NDAT1_Type']]],
['nd8_5732',['ND8',['../unionCAN__NDAT1__Type.html#a34b40964092baf34c4ba8509014980c4',1,'CAN_NDAT1_Type']]],
['nd9_5733',['ND9',['../unionCAN__NDAT1__Type.html#a5b1bbf61dd60eaa53e3087c8ddd64aed',1,'CAN_NDAT1_Type']]],
['ndat1_5734',['NDAT1',['../structCan.html#a7d3508b2b5d43a0337c9fa6ec7a8e576',1,'Can']]],
['ndat2_5735',['NDAT2',['../structCan.html#a24fb0c71b3f13fee5d2275f351b0974c',1,'Can']]],
['ndtb_5736',['NDTB',['../unionCAN__TXBC__Type.html#af859aeb73386eaf0ac36f30fd7c156c8',1,'CAN_TXBC_Type']]],
['newmsg_5737',['NEWMSG',['../unionAES__CTRLB__Type.html#abe825134364341c05b58c0586c3b408a',1,'AES_CTRLB_Type']]],
['nfrx_5738',['NFRX',['../unionGMAC__BFR64__Type.html#a311a214a772fb4658de69e38b7f08bb8',1,'GMAC_BFR64_Type::NFRX()'],['../unionGMAC__TBFR127__Type.html#a83c4c96602134ca49654369f8e08d839',1,'GMAC_TBFR127_Type::NFRX()'],['../unionGMAC__TBFR255__Type.html#a1f00b6d8463a27abd90d06d4544485df',1,'GMAC_TBFR255_Type::NFRX()'],['../unionGMAC__TBFR511__Type.html#a03612bc9f0d277ad89246b0259838ed3',1,'GMAC_TBFR511_Type::NFRX()'],['../unionGMAC__TBFR1023__Type.html#aa4524f876f133900c758323c7295270b',1,'GMAC_TBFR1023_Type::NFRX()'],['../unionGMAC__TBFR1518__Type.html#ad61641db17819b4c813aef3124e98fc9',1,'GMAC_TBFR1518_Type::NFRX()'],['../unionGMAC__TMXBFR__Type.html#a8164666ea33a297a64bc661706282025',1,'GMAC_TMXBFR_Type::NFRX()']]],
['nftx_5739',['NFTX',['../unionGMAC__BFT64__Type.html#aa7a0940003b81743de63d4af0313b13e',1,'GMAC_BFT64_Type::NFTX()'],['../unionGMAC__TBFT127__Type.html#a0ec3a44f75e517d8d9bf954bfcee40fc',1,'GMAC_TBFT127_Type::NFTX()'],['../unionGMAC__TBFT255__Type.html#a72197c377b93cc1d9cbf60af80d75fa9',1,'GMAC_TBFT255_Type::NFTX()'],['../unionGMAC__TBFT511__Type.html#a54d3b8a7d03e486e1575bb67d436e8d4',1,'GMAC_TBFT511_Type::NFTX()'],['../unionGMAC__TBFT1023__Type.html#afcb0c4589e9554712bc44e2df28350cb',1,'GMAC_TBFT1023_Type::NFTX()'],['../unionGMAC__TBFT1518__Type.html#a5cfd18aabee353b26da909c0e985d20a',1,'GMAC_TBFT1518_Type::NFTX()'],['../unionGMAC__GTBFT1518__Type.html#a715c0f4257079b6571525733bc6b6b25',1,'GMAC_GTBFT1518_Type::NFTX()']]],
['nidbg_5740',['NIDBG',['../unionSDHC__DBGR__Type.html#a80d9eb036950d91d98c5ef9572dab0c9',1,'SDHC_DBGR_Type']]],
['nisier_5741',['NISIER',['../structSdhc.html#afdd268f6b489d081c4267344572c4dad',1,'Sdhc']]],
['niso_5742',['NISO',['../unionCAN__CCCR__Type.html#ae20f24b63efee35f10d5d05713eca167',1,'CAN_CCCR_Type']]],
['nister_5743',['NISTER',['../structSdhc.html#af3e4658556b475655f9e5f66bb185644',1,'Sdhc']]],
['nistr_5744',['NISTR',['../structSdhc.html#acf9f58587e04851bacc1d91079e81b32',1,'Sdhc']]],
['nit_5745',['NIT',['../unionGMAC__TI__Type.html#a05c1e971c682d6dccdec28ec88e46583',1,'GMAC_TI_Type']]],
['nmi_5746',['NMI',['../unionEIC__NMIFLAG__Type.html#ab5a19753495575fdd07220282f494e9a',1,'EIC_NMIFLAG_Type']]],
['nmiasynch_5747',['NMIASYNCH',['../unionEIC__NMICTRL__Type.html#a495b651964123217420a71dfa17066b6',1,'EIC_NMICTRL_Type']]],
['nmictrl_5748',['NMICTRL',['../structEic.html#abe387c3f6c50f72e37e91adf3d9eac06',1,'Eic']]],
['nmiex_5749',['NMIEX',['../unionPICOP__CMD__Type.html#a00b268c216b59b55cc66c007ae53e3a7',1,'PICOP_CMD_Type']]],
['nmifilten_5750',['NMIFILTEN',['../unionEIC__NMICTRL__Type.html#ae8c7e49526fb6d130c2e551a8c6fc898',1,'EIC_NMICTRL_Type']]],
['nmiflag_5751',['NMIFLAG',['../structEic.html#a5e489fa962a835e2d06a4dceb6e2b7b8',1,'Eic']]],
['nmisense_5752',['NMISENSE',['../unionEIC__NMICTRL__Type.html#acf1ff36d19d1bf77eb5da09816f60a45',1,'EIC_NMICTRL_Type']]],
['nonmaskableint_5firqn_5753',['NonMaskableInt_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p20a.h']]],
['nre_5754',['NRE',['../unionTCC__DRVCTRL__Type.html#a006e93a72ddb57ed133776a414c9ed25',1,'TCC_DRVCTRL_Type']]],
['nre0_5755',['NRE0',['../unionTCC__DRVCTRL__Type.html#ab84534a435d999e0e197f18af30250b7',1,'TCC_DRVCTRL_Type']]],
['nre1_5756',['NRE1',['../unionTCC__DRVCTRL__Type.html#a234ebd19a2b68b8b4386f4b3524c4ceb',1,'TCC_DRVCTRL_Type']]],
['nre2_5757',['NRE2',['../unionTCC__DRVCTRL__Type.html#ac3dd3aed1a46778072dbd6ceabae18fd',1,'TCC_DRVCTRL_Type']]],
['nre3_5758',['NRE3',['../unionTCC__DRVCTRL__Type.html#a52c3aa6ca5542de33374b36a41d5e94c',1,'TCC_DRVCTRL_Type']]],
['nre4_5759',['NRE4',['../unionTCC__DRVCTRL__Type.html#a098156b8bcb9b82f1ef09539fe9e4742',1,'TCC_DRVCTRL_Type']]],
['nre5_5760',['NRE5',['../unionTCC__DRVCTRL__Type.html#a0200b3e1d7c9da1fcb0a94798bf04989',1,'TCC_DRVCTRL_Type']]],
['nre6_5761',['NRE6',['../unionTCC__DRVCTRL__Type.html#af73130b3fe993cf341baebeb3f3ed75b',1,'TCC_DRVCTRL_Type']]],
['nre7_5762',['NRE7',['../unionTCC__DRVCTRL__Type.html#a45f21c7019abe55e4e8940381aff88b2',1,'TCC_DRVCTRL_Type']]],
['nreply_5763',['NREPLY',['../unionUSB__DEVICE__CTRLB__Type.html#af979a9da5595aa2440e820d5f5833d61',1,'USB_DEVICE_CTRLB_Type']]],
['nrv_5764',['NRV',['../unionTCC__DRVCTRL__Type.html#af169ee6466c287f8f8e993281ea83cfd',1,'TCC_DRVCTRL_Type']]],
['nrv0_5765',['NRV0',['../unionTCC__DRVCTRL__Type.html#ad10cf3934dc81802e587c63c6d77abeb',1,'TCC_DRVCTRL_Type']]],
['nrv1_5766',['NRV1',['../unionTCC__DRVCTRL__Type.html#a906ac2487eaaf0612a7cbacd851fe006',1,'TCC_DRVCTRL_Type']]],
['nrv2_5767',['NRV2',['../unionTCC__DRVCTRL__Type.html#a68ed1eace2e3d3d5bfde44907f23e83a',1,'TCC_DRVCTRL_Type']]],
['nrv3_5768',['NRV3',['../unionTCC__DRVCTRL__Type.html#a7abbad94a2b1842a49217596e3c971d7',1,'TCC_DRVCTRL_Type']]],
['nrv4_5769',['NRV4',['../unionTCC__DRVCTRL__Type.html#af7d2a07faf073db98185b669159823f5',1,'TCC_DRVCTRL_Type']]],
['nrv5_5770',['NRV5',['../unionTCC__DRVCTRL__Type.html#a5c638d16d3d67601e767af16d0dc8090',1,'TCC_DRVCTRL_Type']]],
['nrv6_5771',['NRV6',['../unionTCC__DRVCTRL__Type.html#a0bc3ddcfe4e33b63250f2e50de24640c',1,'TCC_DRVCTRL_Type']]],
['nrv7_5772',['NRV7',['../unionTCC__DRVCTRL__Type.html#ab390b588352e0a9966b5bbc448c03731',1,'TCC_DRVCTRL_Type']]],
['nsc_5773',['NSC',['../structGmac.html#a05105ef43e087c84f65859774058391c',1,'Gmac']]],
['nsjw_5774',['NSJW',['../unionCAN__NBTP__Type.html#a0c46efdb7e534f1b9c37ed4fc0e3f404',1,'CAN_NBTP_Type']]],
['nsr_5775',['NSR',['../structGmac.html#a0b1cc0188ea56c107e0b836de9bcb356',1,'Gmac']]],
['ntseg1_5776',['NTSEG1',['../unionCAN__NBTP__Type.html#afd712fbb08c751273005caf8b6438b5b',1,'CAN_NBTP_Type']]],
['ntseg2_5777',['NTSEG2',['../unionCAN__NBTP__Type.html#a5ad03cafc1a796a2d23d0ac087da7079',1,'CAN_NBTP_Type']]],
['nvm_5778',['NVM',['../unionRSTC__RCAUSE__Type.html#adc0477d48554b0ddaf196648e369b71a',1,'RSTC_RCAUSE_Type']]],
['nvmctrl_5779',['Nvmctrl',['../structNvmctrl.html',1,'Nvmctrl'],['../same54n19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p20a.h']]],
['nvmctrl_2eh_5780',['nvmctrl.h',['../component_2nvmctrl_8h.html',1,'(Global Namespace)'],['../instance_2nvmctrl_8h.html',1,'(Global Namespace)']]],
['nvmctrl_5f_5781',['NVMCTRL_',['../unionMCLK__AHBMASK__Type.html#a704c3ce3dd3205d0bb00c6c2884d7b21',1,'MCLK_AHBMASK_Type::NVMCTRL_()'],['../unionMCLK__APBBMASK__Type.html#adc7b386d0d0aa95498c70630a8eab6e9',1,'MCLK_APBBMASK_Type::NVMCTRL_()'],['../unionPAC__INTFLAGB__Type.html#a9dad06dddaea9e7c0418c07e829c3d92',1,'PAC_INTFLAGB_Type::NVMCTRL_()'],['../unionPAC__STATUSB__Type.html#a7ca7d7fd80bac2ffe7dcccacd05a5544',1,'PAC_STATUSB_Type::NVMCTRL_()']]],
['nvmctrl_5f0_5firqn_5782',['NVMCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f1_5firqn_5783',['NVMCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5faddr_5faddr_5fpos_5784',['NVMCTRL_ADDR_ADDR_Pos',['../component_2nvmctrl_8h.html#a7af974b171527d13bf216b3d5ef5650b',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fmask_5785',['NVMCTRL_ADDR_MASK',['../component_2nvmctrl_8h.html#ab7e62000f793147227a50fa0217a0efd',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5foffset_5786',['NVMCTRL_ADDR_OFFSET',['../component_2nvmctrl_8h.html#a2540268cdeb3644aa722cb8cce5546c8',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fresetvalue_5787',['NVMCTRL_ADDR_RESETVALUE',['../component_2nvmctrl_8h.html#a150652b364483d20d947140a67e2fe25',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5ftype_5788',['NVMCTRL_ADDR_Type',['../unionNVMCTRL__ADDR__Type.html',1,'']]],
['nvmctrl_5fcache_5f_5789',['NVMCTRL_CACHE_',['../unionMCLK__AHBMASK__Type.html#a5bd04ab7f81e23a37e7a32ace41bca67',1,'MCLK_AHBMASK_Type']]],
['nvmctrl_5fctrla_5fahbns0_5fpos_5790',['NVMCTRL_CTRLA_AHBNS0_Pos',['../component_2nvmctrl_8h.html#aaf7319d1b7b994e5238f58155453a3bd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns1_5fpos_5791',['NVMCTRL_CTRLA_AHBNS1_Pos',['../component_2nvmctrl_8h.html#a657739dc21d96ce606df063bbcabb531',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fautows_5fpos_5792',['NVMCTRL_CTRLA_AUTOWS_Pos',['../component_2nvmctrl_8h.html#a257e603f4a7174e1d635448e228bfc07',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis0_5fpos_5793',['NVMCTRL_CTRLA_CACHEDIS0_Pos',['../component_2nvmctrl_8h.html#a4ecb1a6ccfe7b8164364078aac486806',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis1_5fpos_5794',['NVMCTRL_CTRLA_CACHEDIS1_Pos',['../component_2nvmctrl_8h.html#a80271bf72ecf3941808bbbd26df4f55c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fmask_5795',['NVMCTRL_CTRLA_MASK',['../component_2nvmctrl_8h.html#a59f02a3ccafaec97563b7369c88d548c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5foffset_5796',['NVMCTRL_CTRLA_OFFSET',['../component_2nvmctrl_8h.html#a4853195148a26c13e84af06b27099a5a',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5ffullauto_5fval_5797',['NVMCTRL_CTRLA_PRM_FULLAUTO_Val',['../component_2nvmctrl_8h.html#adb5e8d0001604c78e97b4df1d79c8bd2',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fmanual_5fval_5798',['NVMCTRL_CTRLA_PRM_MANUAL_Val',['../component_2nvmctrl_8h.html#a2eb1d721aa675d6e611dd76a807ca656',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fpos_5799',['NVMCTRL_CTRLA_PRM_Pos',['../component_2nvmctrl_8h.html#a0f41c800b0d4a71442b2386ed1cbf40e',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fsemiauto_5fval_5800',['NVMCTRL_CTRLA_PRM_SEMIAUTO_Val',['../component_2nvmctrl_8h.html#aac7012aec3df3a960aa7429f44d351e5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fresetvalue_5801',['NVMCTRL_CTRLA_RESETVALUE',['../component_2nvmctrl_8h.html#afda7d874f8e5f91f9590105c7bc984b5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5frws_5fpos_5802',['NVMCTRL_CTRLA_RWS_Pos',['../component_2nvmctrl_8h.html#a79492707dae78f3e00d769fc45a33fd3',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fsuspen_5fpos_5803',['NVMCTRL_CTRLA_SUSPEN_Pos',['../component_2nvmctrl_8h.html#a6aab60ee7f62c471607703ddd3b7b6e4',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5ftype_5804',['NVMCTRL_CTRLA_Type',['../unionNVMCTRL__CTRLA__Type.html',1,'']]],
['nvmctrl_5fctrla_5fwmode_5fadw_5fval_5805',['NVMCTRL_CTRLA_WMODE_ADW_Val',['../component_2nvmctrl_8h.html#a339f6e3dd7fd6de30c66c844b0387e8d',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fap_5fval_5806',['NVMCTRL_CTRLA_WMODE_AP_Val',['../component_2nvmctrl_8h.html#a01fc2f0de453700c5253ca7aefe693c5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5faqw_5fval_5807',['NVMCTRL_CTRLA_WMODE_AQW_Val',['../component_2nvmctrl_8h.html#a13be0616ab7d1862c3ec88ba15e65588',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fman_5fval_5808',['NVMCTRL_CTRLA_WMODE_MAN_Val',['../component_2nvmctrl_8h.html#a45ac920f783317e834656f1918eaebbd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fpos_5809',['NVMCTRL_CTRLA_WMODE_Pos',['../component_2nvmctrl_8h.html#a42f77205ee1d60ab6bde5ce2f96d81a4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees0_5fval_5810',['NVMCTRL_CTRLB_CMD_ASEES0_Val',['../component_2nvmctrl_8h.html#a026140326eacb0754ddca2c6cb722081',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees1_5fval_5811',['NVMCTRL_CTRLB_CMD_ASEES1_Val',['../component_2nvmctrl_8h.html#a4f80ee71f9e77eec26ae1185aefaada2',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fbkswrst_5fval_5812',['NVMCTRL_CTRLB_CMD_BKSWRST_Val',['../component_2nvmctrl_8h.html#a6f03386bf7b354fda9387d2c52db86d1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcbpdis_5fval_5813',['NVMCTRL_CTRLB_CMD_CBPDIS_Val',['../component_2nvmctrl_8h.html#a5a999f4f70c43b441a20c76c5ca8cc5c',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcelck_5fval_5814',['NVMCTRL_CTRLB_CMD_CELCK_Val',['../component_2nvmctrl_8h.html#a09c93870736f457ba8987109ae500640',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fceulck_5fval_5815',['NVMCTRL_CTRLB_CMD_CEULCK_Val',['../component_2nvmctrl_8h.html#ab97117cbba584df4bf0620b6a40ef844',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcprm_5fval_5816',['NVMCTRL_CTRLB_CMD_CPRM_Val',['../component_2nvmctrl_8h.html#ac190c581f785840caad085267458456e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5feb_5fval_5817',['NVMCTRL_CTRLB_CMD_EB_Val',['../component_2nvmctrl_8h.html#a0ed209557388aeb8be92cf517c864dcb',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fep_5fval_5818',['NVMCTRL_CTRLB_CMD_EP_Val',['../component_2nvmctrl_8h.html#adf1f5d8474585fee271f0962685af28d',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flr_5fval_5819',['NVMCTRL_CTRLB_CMD_LR_Val',['../component_2nvmctrl_8h.html#aac904813b0b4baaf6bc705eba9492484',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flsee_5fval_5820',['NVMCTRL_CTRLB_CMD_LSEE_Val',['../component_2nvmctrl_8h.html#a8b5bfcf07c93cafca14275059bae1063',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flseer_5fval_5821',['NVMCTRL_CTRLB_CMD_LSEER_Val',['../component_2nvmctrl_8h.html#a424082dbd173d10a016ce39edf650ac4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpbc_5fval_5822',['NVMCTRL_CTRLB_CMD_PBC_Val',['../component_2nvmctrl_8h.html#ab61f899ee1eb9d625ec3f4d2a17c9474',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpos_5823',['NVMCTRL_CTRLB_CMD_Pos',['../component_2nvmctrl_8h.html#ab5ece0aea3c767970b7e9a36d8ff5b09',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsbpdis_5fval_5824',['NVMCTRL_CTRLB_CMD_SBPDIS_Val',['../component_2nvmctrl_8h.html#a0b282c1f3bd4b7133f583caf095bd557',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeflush_5fval_5825',['NVMCTRL_CTRLB_CMD_SEEFLUSH_Val',['../component_2nvmctrl_8h.html#ae3721546ed0ce85d258030640db36cdf',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeraloc_5fval_5826',['NVMCTRL_CTRLB_CMD_SEERALOC_Val',['../component_2nvmctrl_8h.html#af7e06bd5d2cfb32c1840887fd46748c1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsprm_5fval_5827',['NVMCTRL_CTRLB_CMD_SPRM_Val',['../component_2nvmctrl_8h.html#ab6548cf96c5a7fd1b260f9be53ed6ced',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fssb_5fval_5828',['NVMCTRL_CTRLB_CMD_SSB_Val',['../component_2nvmctrl_8h.html#aadf20f72205d9c9fd72880936f9ee01f',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fswrst_5fval_5829',['NVMCTRL_CTRLB_CMD_SWRST_Val',['../component_2nvmctrl_8h.html#a6c2019650a4cd908d3ea5a338beb6ff4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fur_5fval_5830',['NVMCTRL_CTRLB_CMD_UR_Val',['../component_2nvmctrl_8h.html#a6ace8977becb3c48a551ab660ce60304',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fusee_5fval_5831',['NVMCTRL_CTRLB_CMD_USEE_Val',['../component_2nvmctrl_8h.html#af2c5cda4f74dd820709364d368d94d2e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fuseer_5fval_5832',['NVMCTRL_CTRLB_CMD_USEER_Val',['../component_2nvmctrl_8h.html#ac63d1fd2efbde3aebed27b21eb0c16e8',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwp_5fval_5833',['NVMCTRL_CTRLB_CMD_WP_Val',['../component_2nvmctrl_8h.html#a5d327d2e6f86cb3fbd2b6b4fd7cdd68e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwqw_5fval_5834',['NVMCTRL_CTRLB_CMD_WQW_Val',['../component_2nvmctrl_8h.html#af34525bef09fb504163897eef0150037',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fkey_5fval_5835',['NVMCTRL_CTRLB_CMDEX_KEY_Val',['../component_2nvmctrl_8h.html#ab22f4e79b9b7373890149b2fe0966192',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fpos_5836',['NVMCTRL_CTRLB_CMDEX_Pos',['../component_2nvmctrl_8h.html#aeea03491d70dfffbc8667e69e5aabca6',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fmask_5837',['NVMCTRL_CTRLB_MASK',['../component_2nvmctrl_8h.html#aaf2272ac3ee696dfe0d222a87bc7cbe1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5foffset_5838',['NVMCTRL_CTRLB_OFFSET',['../component_2nvmctrl_8h.html#a96b31df212035cedc4f6a370222e5b67',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fresetvalue_5839',['NVMCTRL_CTRLB_RESETVALUE',['../component_2nvmctrl_8h.html#ac0a835cf0ee617133dc445147d2af448',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5ftype_5840',['NVMCTRL_CTRLB_Type',['../unionNVMCTRL__CTRLB__Type.html',1,'']]],
['nvmctrl_5fdbgctrl_5feccdis_5fpos_5841',['NVMCTRL_DBGCTRL_ECCDIS_Pos',['../component_2nvmctrl_8h.html#a4b0945afc4044065bbc12602111b7203',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccelog_5fpos_5842',['NVMCTRL_DBGCTRL_ECCELOG_Pos',['../component_2nvmctrl_8h.html#aa58da7471715cdc607e846adaf1ec734',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fmask_5843',['NVMCTRL_DBGCTRL_MASK',['../component_2nvmctrl_8h.html#a206ada9fc0b8e925dc51272da5dff233',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5foffset_5844',['NVMCTRL_DBGCTRL_OFFSET',['../component_2nvmctrl_8h.html#abfc10a800250b88bc1aa6893d7f7807a',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fresetvalue_5845',['NVMCTRL_DBGCTRL_RESETVALUE',['../component_2nvmctrl_8h.html#a3caa773b6cf8933d60f4e96611415cdc',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5ftype_5846',['NVMCTRL_DBGCTRL_Type',['../unionNVMCTRL__DBGCTRL__Type.html',1,'']]],
['nvmctrl_5feccerr_5faddr_5fpos_5847',['NVMCTRL_ECCERR_ADDR_Pos',['../component_2nvmctrl_8h.html#a01bfc438608a32f2a18d81190a42b6b9',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fmask_5848',['NVMCTRL_ECCERR_MASK',['../component_2nvmctrl_8h.html#a2e98f8b8bdb2b318dcf8174add395063',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5foffset_5849',['NVMCTRL_ECCERR_OFFSET',['../component_2nvmctrl_8h.html#abd3a5dd0cfe3b66f6386e4f74b8fa85b',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fresetvalue_5850',['NVMCTRL_ECCERR_RESETVALUE',['../component_2nvmctrl_8h.html#ab797fb0ea4d59d05e33853bfdf7c1107',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftype_5851',['NVMCTRL_ECCERR_Type',['../unionNVMCTRL__ECCERR__Type.html',1,'']]],
['nvmctrl_5feccerr_5ftypeh_5fdual_5fval_5852',['NVMCTRL_ECCERR_TYPEH_DUAL_Val',['../component_2nvmctrl_8h.html#a458bd93782ff9661b5f6642cae0d50df',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fnone_5fval_5853',['NVMCTRL_ECCERR_TYPEH_NONE_Val',['../component_2nvmctrl_8h.html#aca1b74f0878dd8da1e328b08cff5e814',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fpos_5854',['NVMCTRL_ECCERR_TYPEH_Pos',['../component_2nvmctrl_8h.html#a0e34b7e5cff130c34ded4efec79fd9a1',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fsingle_5fval_5855',['NVMCTRL_ECCERR_TYPEH_SINGLE_Val',['../component_2nvmctrl_8h.html#a4f3f4387989bdb1fec66d5c8d38feb4e',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fdual_5fval_5856',['NVMCTRL_ECCERR_TYPEL_DUAL_Val',['../component_2nvmctrl_8h.html#a4db2a69ae48460249c3e8581e0fa2f27',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fnone_5fval_5857',['NVMCTRL_ECCERR_TYPEL_NONE_Val',['../component_2nvmctrl_8h.html#ad6c760c325868b652ea8549160dbfdea',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fpos_5858',['NVMCTRL_ECCERR_TYPEL_Pos',['../component_2nvmctrl_8h.html#a773b71ff442b075e909c294c8b32df20',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fsingle_5fval_5859',['NVMCTRL_ECCERR_TYPEL_SINGLE_Val',['../component_2nvmctrl_8h.html#a7181020ba94941e46f81935a64ca66ad',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fbootprot_5fpos_5860',['NVMCTRL_FUSES_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#ac2867e0c1d1fc1b327991134a24983ca',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fregion_5flocks_5fpos_5861',['NVMCTRL_FUSES_REGION_LOCKS_Pos',['../component_2nvmctrl_8h.html#a553b4d761e65080381c6af440abee0f0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseepsz_5fpos_5862',['NVMCTRL_FUSES_SEEPSZ_Pos',['../component_2nvmctrl_8h.html#ad8edf28ff4e7b8bb4fe9ffacbd1050a0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseesblk_5fpos_5863',['NVMCTRL_FUSES_SEESBLK_Pos',['../component_2nvmctrl_8h.html#a57166c3b4b4ee40c95300889a7c0ebd8',1,'nvmctrl.h']]],
['nvmctrl_5finst_5fnum_5864',['NVMCTRL_INST_NUM',['../same54n19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p20a.h']]],
['nvmctrl_5finsts_5865',['NVMCTRL_INSTS',['../same54n19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p20a.h']]],
['nvmctrl_5fintenclr_5faddre_5fpos_5866',['NVMCTRL_INTENCLR_ADDRE_Pos',['../component_2nvmctrl_8h.html#a008fce13f42375fa0ec8dfa8ee6e25ad',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fdone_5fpos_5867',['NVMCTRL_INTENCLR_DONE_Pos',['../component_2nvmctrl_8h.html#ab303d4dd896628711c798a2d81c243e9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccde_5fpos_5868',['NVMCTRL_INTENCLR_ECCDE_Pos',['../component_2nvmctrl_8h.html#a014cf0f8bd9d879896f26f9aa95b1d5f',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccse_5fpos_5869',['NVMCTRL_INTENCLR_ECCSE_Pos',['../component_2nvmctrl_8h.html#a86ceb9ac83904784c8ad062991023a48',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5flocke_5fpos_5870',['NVMCTRL_INTENCLR_LOCKE_Pos',['../component_2nvmctrl_8h.html#ac1fa728d12605ea718efcc90936647d0',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fmask_5871',['NVMCTRL_INTENCLR_MASK',['../component_2nvmctrl_8h.html#a399cac515ae8b6bfd864314732defbe9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fnvme_5fpos_5872',['NVMCTRL_INTENCLR_NVME_Pos',['../component_2nvmctrl_8h.html#af50b2e19d9eafcb99eb73dc8e63236d9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5foffset_5873',['NVMCTRL_INTENCLR_OFFSET',['../component_2nvmctrl_8h.html#af69e18ecea21ffbf47dc28f66161a768',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fproge_5fpos_5874',['NVMCTRL_INTENCLR_PROGE_Pos',['../component_2nvmctrl_8h.html#a52b68cb512c19b5711f09698f6b93493',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fresetvalue_5875',['NVMCTRL_INTENCLR_RESETVALUE',['../component_2nvmctrl_8h.html#acc5d7dab614b1d4e05402a8c97de3954',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesfull_5fpos_5876',['NVMCTRL_INTENCLR_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a1790fb571650fdddf88e6901335be515',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesovf_5fpos_5877',['NVMCTRL_INTENCLR_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a743129233f8516c29b12e381951077dd',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseewrc_5fpos_5878',['NVMCTRL_INTENCLR_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af2ac2b08e506101132051821be8847c2',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fsusp_5fpos_5879',['NVMCTRL_INTENCLR_SUSP_Pos',['../component_2nvmctrl_8h.html#a8c0417f3d672b2fd21a2864eb416d1f8',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5ftype_5880',['NVMCTRL_INTENCLR_Type',['../unionNVMCTRL__INTENCLR__Type.html',1,'']]],
['nvmctrl_5fintenset_5faddre_5fpos_5881',['NVMCTRL_INTENSET_ADDRE_Pos',['../component_2nvmctrl_8h.html#a7ce68e10f261102ba48b958d85fa3e97',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fdone_5fpos_5882',['NVMCTRL_INTENSET_DONE_Pos',['../component_2nvmctrl_8h.html#a0a8101c9e2b9d16bc4a8a1edbb3ff97a',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccde_5fpos_5883',['NVMCTRL_INTENSET_ECCDE_Pos',['../component_2nvmctrl_8h.html#aaf23d6f9f57daf3723ba6c3bf85a1ffd',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccse_5fpos_5884',['NVMCTRL_INTENSET_ECCSE_Pos',['../component_2nvmctrl_8h.html#ae004bc06eb8e11c5a364b0de5edf369f',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5flocke_5fpos_5885',['NVMCTRL_INTENSET_LOCKE_Pos',['../component_2nvmctrl_8h.html#a83f6cb52007a64ba21de6b059c78a530',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fmask_5886',['NVMCTRL_INTENSET_MASK',['../component_2nvmctrl_8h.html#ac49294b6f689477f53cabe7aba8a4975',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fnvme_5fpos_5887',['NVMCTRL_INTENSET_NVME_Pos',['../component_2nvmctrl_8h.html#a05655c435fcc534d5b7aee5f88809a70',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5foffset_5888',['NVMCTRL_INTENSET_OFFSET',['../component_2nvmctrl_8h.html#acbc15881a076ca6d111540304e571a20',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fproge_5fpos_5889',['NVMCTRL_INTENSET_PROGE_Pos',['../component_2nvmctrl_8h.html#ab0e7649e23ebb619b9f3cba68aa1650e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fresetvalue_5890',['NVMCTRL_INTENSET_RESETVALUE',['../component_2nvmctrl_8h.html#ad48eea420ef04c6f0c75a074991ad6d6',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesfull_5fpos_5891',['NVMCTRL_INTENSET_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a7114d359880d3c94e97b543c6e6ea9cf',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesovf_5fpos_5892',['NVMCTRL_INTENSET_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a98a8f6fcee8a62386dbc640ad5e1979e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseewrc_5fpos_5893',['NVMCTRL_INTENSET_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af1c59aa0745e03430697b51742b6c2ba',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fsusp_5fpos_5894',['NVMCTRL_INTENSET_SUSP_Pos',['../component_2nvmctrl_8h.html#a59b343b6ae84f68d8b6e2230f88fbd0d',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5ftype_5895',['NVMCTRL_INTENSET_Type',['../unionNVMCTRL__INTENSET__Type.html',1,'']]],
['nvmctrl_5fintflag_5faddre_5fpos_5896',['NVMCTRL_INTFLAG_ADDRE_Pos',['../component_2nvmctrl_8h.html#a108e21dc370bd9b52bd26614cdf00631',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fdone_5fpos_5897',['NVMCTRL_INTFLAG_DONE_Pos',['../component_2nvmctrl_8h.html#a22999317a65e0e9d3222617351fc58d1',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccde_5fpos_5898',['NVMCTRL_INTFLAG_ECCDE_Pos',['../component_2nvmctrl_8h.html#a9080bb2d763386195ec46a250d7a2089',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccse_5fpos_5899',['NVMCTRL_INTFLAG_ECCSE_Pos',['../component_2nvmctrl_8h.html#aff9c4e86b71922736f8e53c1cd89eb9f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5flocke_5fpos_5900',['NVMCTRL_INTFLAG_LOCKE_Pos',['../component_2nvmctrl_8h.html#a46d0a99797eecef352c91b78662af79b',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fmask_5901',['NVMCTRL_INTFLAG_MASK',['../component_2nvmctrl_8h.html#a77cb82e875b1988ba35455d842df74c5',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fnvme_5fpos_5902',['NVMCTRL_INTFLAG_NVME_Pos',['../component_2nvmctrl_8h.html#aa646db9f5e0c170cbd083c99061dab17',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5foffset_5903',['NVMCTRL_INTFLAG_OFFSET',['../component_2nvmctrl_8h.html#a28bff79f1cf058fa8a6c7072f5913862',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fproge_5fpos_5904',['NVMCTRL_INTFLAG_PROGE_Pos',['../component_2nvmctrl_8h.html#a07cf1320b7671fcff3ed627f6ebb852f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fresetvalue_5905',['NVMCTRL_INTFLAG_RESETVALUE',['../component_2nvmctrl_8h.html#a2d7e54384a5f6445cc9b9769ddf32ac2',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesfull_5fpos_5906',['NVMCTRL_INTFLAG_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a760882172efec65a277f3e50784f37ca',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesovf_5fpos_5907',['NVMCTRL_INTFLAG_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a1afdc393e53f834691319e75098b3fef',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseewrc_5fpos_5908',['NVMCTRL_INTFLAG_SEEWRC_Pos',['../component_2nvmctrl_8h.html#ad9fa2489bdc30ba3b9d5eef8c50551a6',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fsusp_5fpos_5909',['NVMCTRL_INTFLAG_SUSP_Pos',['../component_2nvmctrl_8h.html#a17ec7a0341ec9fb5fee40c713c541340',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5ftype_5910',['NVMCTRL_INTFLAG_Type',['../unionNVMCTRL__INTFLAG__Type.html',1,'']]],
['nvmctrl_5fparam_5fmask_5911',['NVMCTRL_PARAM_MASK',['../component_2nvmctrl_8h.html#aadfbc70cd6cbb088b215b8e6322c4295',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fnvmp_5fpos_5912',['NVMCTRL_PARAM_NVMP_Pos',['../component_2nvmctrl_8h.html#a08f9f5de86a6c44ce2d6ecdb9f995443',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5foffset_5913',['NVMCTRL_PARAM_OFFSET',['../component_2nvmctrl_8h.html#a6624597605fc956079a9818778a34abe',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f1024_5fval_5914',['NVMCTRL_PARAM_PSZ_1024_Val',['../component_2nvmctrl_8h.html#a7bffb82ccc9a71552882baabdfa97b13',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f128_5fval_5915',['NVMCTRL_PARAM_PSZ_128_Val',['../component_2nvmctrl_8h.html#ac8f620598445b047b44dfc8081439744',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f16_5fval_5916',['NVMCTRL_PARAM_PSZ_16_Val',['../component_2nvmctrl_8h.html#a10b4a62c2bab14a45967ee663cfbdd10',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f256_5fval_5917',['NVMCTRL_PARAM_PSZ_256_Val',['../component_2nvmctrl_8h.html#a7f53d9c6161bf83ef35275e90438e9e2',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f32_5fval_5918',['NVMCTRL_PARAM_PSZ_32_Val',['../component_2nvmctrl_8h.html#ae80b28e70177db78d7d5a0d748809192',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f512_5fval_5919',['NVMCTRL_PARAM_PSZ_512_Val',['../component_2nvmctrl_8h.html#ac19388a03c011b81e82b35dfd7da69b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f64_5fval_5920',['NVMCTRL_PARAM_PSZ_64_Val',['../component_2nvmctrl_8h.html#a464e20508682489f9283f535148c27b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f8_5fval_5921',['NVMCTRL_PARAM_PSZ_8_Val',['../component_2nvmctrl_8h.html#a9a83c6574dc48ac1c69701673922d2f3',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5fpos_5922',['NVMCTRL_PARAM_PSZ_Pos',['../component_2nvmctrl_8h.html#abec5624158a60a0f0d0b8a62afe18af8',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fresetvalue_5923',['NVMCTRL_PARAM_RESETVALUE',['../component_2nvmctrl_8h.html#a70c3c90bcb2318bb472cc9565751ff04',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fsee_5fpos_5924',['NVMCTRL_PARAM_SEE_Pos',['../component_2nvmctrl_8h.html#ae0b8f7fc4e55aa43cca20f4c373aa876',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5ftype_5925',['NVMCTRL_PARAM_Type',['../unionNVMCTRL__PARAM__Type.html',1,'']]],
['nvmctrl_5fpbldata_5fdata_5fpos_5926',['NVMCTRL_PBLDATA_DATA_Pos',['../component_2nvmctrl_8h.html#adb49fcf33ccbd90ef286c0840bb0b4ae',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fmask_5927',['NVMCTRL_PBLDATA_MASK',['../component_2nvmctrl_8h.html#ad9d596008c4ae456d6aa37524ba3c4b9',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5foffset_5928',['NVMCTRL_PBLDATA_OFFSET',['../component_2nvmctrl_8h.html#a20335c5e4f98ea6f04cbfea8e216f424',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fresetvalue_5929',['NVMCTRL_PBLDATA_RESETVALUE',['../component_2nvmctrl_8h.html#a9453ad641763f2a598293f34c408f72e',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5ftype_5930',['NVMCTRL_PBLDATA_Type',['../unionNVMCTRL__PBLDATA__Type.html',1,'']]],
['nvmctrl_5frunlock_5fmask_5931',['NVMCTRL_RUNLOCK_MASK',['../component_2nvmctrl_8h.html#a765a44b584c77fcc4955ba5945d40f79',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5foffset_5932',['NVMCTRL_RUNLOCK_OFFSET',['../component_2nvmctrl_8h.html#a346dcd28aad5d36105d096721dfd91e1',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fresetvalue_5933',['NVMCTRL_RUNLOCK_RESETVALUE',['../component_2nvmctrl_8h.html#a8e6268896b6157a54f2d2d3436803364',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5frunlock_5fpos_5934',['NVMCTRL_RUNLOCK_RUNLOCK_Pos',['../component_2nvmctrl_8h.html#a4780bf981f03993af92e7eb985332369',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5ftype_5935',['NVMCTRL_RUNLOCK_Type',['../unionNVMCTRL__RUNLOCK__Type.html',1,'']]],
['nvmctrl_5fseecfg_5faprdis_5fpos_5936',['NVMCTRL_SEECFG_APRDIS_Pos',['../component_2nvmctrl_8h.html#a8495c34e37f84a9dd28292ba8138cfba',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fmask_5937',['NVMCTRL_SEECFG_MASK',['../component_2nvmctrl_8h.html#a32261b1b6bdab06eafe91ddc8ae9e7c1',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5foffset_5938',['NVMCTRL_SEECFG_OFFSET',['../component_2nvmctrl_8h.html#a411992b91d9f9833e5ffbb39750859eb',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fresetvalue_5939',['NVMCTRL_SEECFG_RESETVALUE',['../component_2nvmctrl_8h.html#ad064de9c2ca707bb0c511872eea0974c',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5ftype_5940',['NVMCTRL_SEECFG_Type',['../unionNVMCTRL__SEECFG__Type.html',1,'']]],
['nvmctrl_5fseecfg_5fwmode_5fbuffered_5fval_5941',['NVMCTRL_SEECFG_WMODE_BUFFERED_Val',['../component_2nvmctrl_8h.html#aeaea90b7ce256254fce4312fefd06eae',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fpos_5942',['NVMCTRL_SEECFG_WMODE_Pos',['../component_2nvmctrl_8h.html#ad1519e6fd3d749d2bd87d33fa75dc09a',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5funbuffered_5fval_5943',['NVMCTRL_SEECFG_WMODE_UNBUFFERED_Val',['../component_2nvmctrl_8h.html#adfe1789e19f27c333770c1aa553ffe2e',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fasees_5fpos_5944',['NVMCTRL_SEESTAT_ASEES_Pos',['../component_2nvmctrl_8h.html#a192d45485cf0699ee172d46e7c4e008a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fbusy_5fpos_5945',['NVMCTRL_SEESTAT_BUSY_Pos',['../component_2nvmctrl_8h.html#a27b49bd5f280d800ea54a20a23f990de',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fload_5fpos_5946',['NVMCTRL_SEESTAT_LOAD_Pos',['../component_2nvmctrl_8h.html#a5be1417f0473f1231f71d7577dca2424',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5flock_5fpos_5947',['NVMCTRL_SEESTAT_LOCK_Pos',['../component_2nvmctrl_8h.html#a365448dd65e94a559ad712d01f25ff5a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fmask_5948',['NVMCTRL_SEESTAT_MASK',['../component_2nvmctrl_8h.html#a6a42524260ced78dff10eb90993694c9',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5foffset_5949',['NVMCTRL_SEESTAT_OFFSET',['../component_2nvmctrl_8h.html#a9ef3bbd25a21be90dcbd24473b80d80b',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fpsz_5fpos_5950',['NVMCTRL_SEESTAT_PSZ_Pos',['../component_2nvmctrl_8h.html#a133e065f2ffafe19d26bb83bc68b321c',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fresetvalue_5951',['NVMCTRL_SEESTAT_RESETVALUE',['../component_2nvmctrl_8h.html#acaa208a2a6ae678e37dc65e11aacca55',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5frlock_5fpos_5952',['NVMCTRL_SEESTAT_RLOCK_Pos',['../component_2nvmctrl_8h.html#a65208ac7825e71e3cfb915ef80ba3ed4',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fsblk_5fpos_5953',['NVMCTRL_SEESTAT_SBLK_Pos',['../component_2nvmctrl_8h.html#a750e309549c3cd9c713c29f8a2a0d914',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5ftype_5954',['NVMCTRL_SEESTAT_Type',['../unionNVMCTRL__SEESTAT__Type.html',1,'']]],
['nvmctrl_5fsmeeprom_5f_5955',['NVMCTRL_SMEEPROM_',['../unionMCLK__AHBMASK__Type.html#a1d45d805e1429b2852111c044d8e7853',1,'MCLK_AHBMASK_Type']]],
['nvmctrl_5fstatus_5fafirst_5fpos_5956',['NVMCTRL_STATUS_AFIRST_Pos',['../component_2nvmctrl_8h.html#a8f50070babdcab2c53fdc877caad306f',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbootprot_5fpos_5957',['NVMCTRL_STATUS_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#a67bf7afdc8e516723f0115349cf1c9ed',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbpdis_5fpos_5958',['NVMCTRL_STATUS_BPDIS_Pos',['../component_2nvmctrl_8h.html#a3e0feac79940a9612ff3509d5b30e8c6',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fload_5fpos_5959',['NVMCTRL_STATUS_LOAD_Pos',['../component_2nvmctrl_8h.html#af65957fca966956aebcb205a480376cf',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fmask_5960',['NVMCTRL_STATUS_MASK',['../component_2nvmctrl_8h.html#acb0fa540b3def00184ee02da753f171e',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5foffset_5961',['NVMCTRL_STATUS_OFFSET',['../component_2nvmctrl_8h.html#aa210cb991451778d9df1a804b189799a',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fprm_5fpos_5962',['NVMCTRL_STATUS_PRM_Pos',['../component_2nvmctrl_8h.html#ab7f1c04b15d3c4fc8c9ab4bfbfd0731c',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fready_5fpos_5963',['NVMCTRL_STATUS_READY_Pos',['../component_2nvmctrl_8h.html#a6a3182ad9c4d093efbe119b510b43c39',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fresetvalue_5964',['NVMCTRL_STATUS_RESETVALUE',['../component_2nvmctrl_8h.html#ac30e36e00c486c218383a4d318fe59c8',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fsusp_5fpos_5965',['NVMCTRL_STATUS_SUSP_Pos',['../component_2nvmctrl_8h.html#a204d7eb1505d3f46301eb0f829b977bd',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5ftype_5966',['NVMCTRL_STATUS_Type',['../unionNVMCTRL__STATUS__Type.html',1,'']]],
['nvmctrl_5fsw0_5967',['NVMCTRL_SW0',['../same54n19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n19a.h'],['../same54n20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n20a.h'],['../same54p19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p19a.h'],['../same54p20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p20a.h']]],
['nvmctrl_5ftemp_5flog_5968',['NVMCTRL_TEMP_LOG',['../same54n19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n19a.h'],['../same54n20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n20a.h'],['../same54p19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p19a.h'],['../same54p20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p20a.h']]],
['nvmctrl_5fuser_5969',['NVMCTRL_USER',['../same54n19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n19a.h'],['../same54n20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n20a.h'],['../same54p19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p19a.h'],['../same54p20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p20a.h']]],
['nvme_5970',['NVME',['../unionNVMCTRL__INTENCLR__Type.html#ad8f42a0e30a1faa286a7ca808a63a7c0',1,'NVMCTRL_INTENCLR_Type::NVME()'],['../unionNVMCTRL__INTENSET__Type.html#a3453ea9b60b5aab08ac77b077050d18c',1,'NVMCTRL_INTENSET_Type::NVME()'],['../unionNVMCTRL__INTFLAG__Type.html#a3c01595f7b8459a0a7abd00360e82415',1,'NVMCTRL_INTFLAG_Type::NVME()']]],
['nvmp_5971',['NVMP',['../unionNVMCTRL__PARAM__Type.html#ab027731ea54fbc5dc42c1f1eb988a498',1,'NVMCTRL_PARAM_Type']]],
['nyetdis_5972',['NYETDIS',['../unionUSB__DEVICE__EPCFG__Type.html#a2e4bb183d6619fcfd326e7d2b734033f',1,'USB_DEVICE_EPCFG_Type']]],
['non_2dvolatile_20memory_20controller_5973',['Non-Volatile Memory Controller',['../group__SAME54__NVMCTRL.html',1,'']]]
['nanosec_5698',['NANOSEC',['../unionGMAC__NSC__Type.html#a7f821f4c3b0856d99641a6497b32060b',1,'GMAC_NSC_Type']]],
['nbc_5699',['NBC',['../unionGMAC__NCFGR__Type.html#ac056cf80ad49fdb82d0f543c74cd85f4',1,'GMAC_NCFGR_Type']]],
['nbrp_5700',['NBRP',['../unionCAN__NBTP__Type.html#a2b0eae86870f3afb2c48ad5528bd7f97',1,'CAN_NBTP_Type']]],
['nbslots_5701',['NBSLOTS',['../unionI2S__CLKCTRL__Type.html#ae0115c3a7792d5cdf7892a74faef5a5c',1,'I2S_CLKCTRL_Type']]],
['nbtp_5702',['NBTP',['../structCan.html#a3f7233071c69fdc2310af0eba0db5c30',1,'Can']]],
['ncfgr_5703',['NCFGR',['../structGmac.html#a8e12dc5694dcf5b307aefb8845d2561a',1,'Gmac']]],
['ncr_5704',['NCR',['../structGmac.html#ada472f5a6fc9fc9de79c249b78a03c73',1,'Gmac']]],
['nd0_5705',['ND0',['../unionCAN__NDAT1__Type.html#aa8dbb4de5be66a01e410edb385963c15',1,'CAN_NDAT1_Type']]],
['nd1_5706',['ND1',['../unionCAN__NDAT1__Type.html#a1d29745d48caa035852da46af9cb62d1',1,'CAN_NDAT1_Type']]],
['nd10_5707',['ND10',['../unionCAN__NDAT1__Type.html#ac75aabc669b7acdfc7aad76a9b604fb6',1,'CAN_NDAT1_Type']]],
['nd11_5708',['ND11',['../unionCAN__NDAT1__Type.html#a39a9b6ac676458b6c06d559411151de5',1,'CAN_NDAT1_Type']]],
['nd12_5709',['ND12',['../unionCAN__NDAT1__Type.html#a1a5be7839b9ca624ae6cfb9796e1b01d',1,'CAN_NDAT1_Type']]],
['nd13_5710',['ND13',['../unionCAN__NDAT1__Type.html#aeb28529c6685ddd26bd68f04816c6a3b',1,'CAN_NDAT1_Type']]],
['nd14_5711',['ND14',['../unionCAN__NDAT1__Type.html#a592592a23b67369f8348fcf7c0129f15',1,'CAN_NDAT1_Type']]],
['nd15_5712',['ND15',['../unionCAN__NDAT1__Type.html#aea9f5dd3484ab3af1acb87b2dab864ea',1,'CAN_NDAT1_Type']]],
['nd16_5713',['ND16',['../unionCAN__NDAT1__Type.html#ac53d27d8fa15ef79ae1f0684a898fac2',1,'CAN_NDAT1_Type']]],
['nd17_5714',['ND17',['../unionCAN__NDAT1__Type.html#aba00b9fde9928935bf3ee85dd0be4325',1,'CAN_NDAT1_Type']]],
['nd18_5715',['ND18',['../unionCAN__NDAT1__Type.html#ae67ee999dc53c45ed556ffcfb048cd7e',1,'CAN_NDAT1_Type']]],
['nd19_5716',['ND19',['../unionCAN__NDAT1__Type.html#ad0febfa825a8e4234e076ee8edb94282',1,'CAN_NDAT1_Type']]],
['nd2_5717',['ND2',['../unionCAN__NDAT1__Type.html#a2fd4ed6c6fb831b2b8dae084a9a618f7',1,'CAN_NDAT1_Type']]],
['nd20_5718',['ND20',['../unionCAN__NDAT1__Type.html#a37cb56c90000551a22ed6fb83e74e16e',1,'CAN_NDAT1_Type']]],
['nd21_5719',['ND21',['../unionCAN__NDAT1__Type.html#a3a7280f9810ecb6f13390f3b71c48c4e',1,'CAN_NDAT1_Type']]],
['nd22_5720',['ND22',['../unionCAN__NDAT1__Type.html#ac6ebc50e17e85be1f187a9503e6453b9',1,'CAN_NDAT1_Type']]],
['nd23_5721',['ND23',['../unionCAN__NDAT1__Type.html#ac5c73b8d3d2c5259d72a5e9947f27d80',1,'CAN_NDAT1_Type']]],
['nd24_5722',['ND24',['../unionCAN__NDAT1__Type.html#a4f579b9e6ba54b6bc4d3f10fcb4e64f7',1,'CAN_NDAT1_Type']]],
['nd25_5723',['ND25',['../unionCAN__NDAT1__Type.html#a6e9caa4c70ad1c09483a14bcfd13f950',1,'CAN_NDAT1_Type']]],
['nd26_5724',['ND26',['../unionCAN__NDAT1__Type.html#a5c4e4ab19bf39e462de2d8d070ea8595',1,'CAN_NDAT1_Type']]],
['nd27_5725',['ND27',['../unionCAN__NDAT1__Type.html#aabb1ebaf77c2c211259aadf7ed00d6ff',1,'CAN_NDAT1_Type']]],
['nd28_5726',['ND28',['../unionCAN__NDAT1__Type.html#a2b86f63b4adbaa6d2fc58b9dc7932f8c',1,'CAN_NDAT1_Type']]],
['nd29_5727',['ND29',['../unionCAN__NDAT1__Type.html#a7d01093af522c936c244dff92c9f66da',1,'CAN_NDAT1_Type']]],
['nd3_5728',['ND3',['../unionCAN__NDAT1__Type.html#a8b1a644c718c96edb92e27c46f4c1c7f',1,'CAN_NDAT1_Type']]],
['nd30_5729',['ND30',['../unionCAN__NDAT1__Type.html#a16aaebb066d86bdee981c4382bd70da2',1,'CAN_NDAT1_Type']]],
['nd31_5730',['ND31',['../unionCAN__NDAT1__Type.html#a5d73b0b426524a6921a78713e265142d',1,'CAN_NDAT1_Type']]],
['nd32_5731',['ND32',['../unionCAN__NDAT2__Type.html#a51108b34eb4cb7181a721af254b147c1',1,'CAN_NDAT2_Type']]],
['nd33_5732',['ND33',['../unionCAN__NDAT2__Type.html#a27fc8977ef53dfdb2bb1ccbc181e24f9',1,'CAN_NDAT2_Type']]],
['nd34_5733',['ND34',['../unionCAN__NDAT2__Type.html#add70af2d04c83fafda9f4f0ac028d4bd',1,'CAN_NDAT2_Type']]],
['nd35_5734',['ND35',['../unionCAN__NDAT2__Type.html#aef84e40a214d94c3bdd48e3040c30bc4',1,'CAN_NDAT2_Type']]],
['nd36_5735',['ND36',['../unionCAN__NDAT2__Type.html#a16c67a1940d141335aafa3758f3b859e',1,'CAN_NDAT2_Type']]],
['nd37_5736',['ND37',['../unionCAN__NDAT2__Type.html#a618e0c9494a24a312a8d05cce1bb68ea',1,'CAN_NDAT2_Type']]],
['nd38_5737',['ND38',['../unionCAN__NDAT2__Type.html#a73d1ca429815e9ecf56961ee98c2f277',1,'CAN_NDAT2_Type']]],
['nd39_5738',['ND39',['../unionCAN__NDAT2__Type.html#a41c8c7128165cbb38e1de96e29967508',1,'CAN_NDAT2_Type']]],
['nd4_5739',['ND4',['../unionCAN__NDAT1__Type.html#a640d29719f639fc473733f3ae05a98e0',1,'CAN_NDAT1_Type']]],
['nd40_5740',['ND40',['../unionCAN__NDAT2__Type.html#ae6a1e188f1a8553caa35b20c9c6f9b60',1,'CAN_NDAT2_Type']]],
['nd41_5741',['ND41',['../unionCAN__NDAT2__Type.html#ac959f2d03d24028cab2a7e59fd4e0c21',1,'CAN_NDAT2_Type']]],
['nd42_5742',['ND42',['../unionCAN__NDAT2__Type.html#a169fa8fb82cc6cadaf1b38f2cd2de374',1,'CAN_NDAT2_Type']]],
['nd43_5743',['ND43',['../unionCAN__NDAT2__Type.html#afafb3aa31c9a30fd8ed50850840d1329',1,'CAN_NDAT2_Type']]],
['nd44_5744',['ND44',['../unionCAN__NDAT2__Type.html#aa74e01e9005440dc780fd7af7645a84d',1,'CAN_NDAT2_Type']]],
['nd45_5745',['ND45',['../unionCAN__NDAT2__Type.html#a8c1d7a46c8b261e06e81f5c4116ad4b9',1,'CAN_NDAT2_Type']]],
['nd46_5746',['ND46',['../unionCAN__NDAT2__Type.html#a90adcc7573c619ef703f5d836cc75981',1,'CAN_NDAT2_Type']]],
['nd47_5747',['ND47',['../unionCAN__NDAT2__Type.html#acdabd303365dbd9a522d19208fa10f0c',1,'CAN_NDAT2_Type']]],
['nd48_5748',['ND48',['../unionCAN__NDAT2__Type.html#a5d7e5389e03047c8b20e1d830a691297',1,'CAN_NDAT2_Type']]],
['nd49_5749',['ND49',['../unionCAN__NDAT2__Type.html#ad1a4b374d2cc1716663763bb53f8269b',1,'CAN_NDAT2_Type']]],
['nd5_5750',['ND5',['../unionCAN__NDAT1__Type.html#a15da06418318878a06256c823a100d98',1,'CAN_NDAT1_Type']]],
['nd50_5751',['ND50',['../unionCAN__NDAT2__Type.html#ae0e50de6414b1968704513e495679ca9',1,'CAN_NDAT2_Type']]],
['nd51_5752',['ND51',['../unionCAN__NDAT2__Type.html#a15baa506a5f5576f7c40aa9d45f6ead5',1,'CAN_NDAT2_Type']]],
['nd52_5753',['ND52',['../unionCAN__NDAT2__Type.html#ae97cbf702a0880f64a74c173d229bb9f',1,'CAN_NDAT2_Type']]],
['nd53_5754',['ND53',['../unionCAN__NDAT2__Type.html#ab73a15a811f828ffdccfbafaf7b9b6ec',1,'CAN_NDAT2_Type']]],
['nd54_5755',['ND54',['../unionCAN__NDAT2__Type.html#a92b0650627d7be89a21fa603feb467a1',1,'CAN_NDAT2_Type']]],
['nd55_5756',['ND55',['../unionCAN__NDAT2__Type.html#a6e6562f2d72e2b1090c07b765dd67400',1,'CAN_NDAT2_Type']]],
['nd56_5757',['ND56',['../unionCAN__NDAT2__Type.html#a0b98baaaba55e5d4a03ec5d168226460',1,'CAN_NDAT2_Type']]],
['nd57_5758',['ND57',['../unionCAN__NDAT2__Type.html#a0570790b255b30a72253203ca06a337f',1,'CAN_NDAT2_Type']]],
['nd58_5759',['ND58',['../unionCAN__NDAT2__Type.html#a1ccfa7dd2349ee879f92f6e847ba2b13',1,'CAN_NDAT2_Type']]],
['nd59_5760',['ND59',['../unionCAN__NDAT2__Type.html#aab708b6906d1e3cdbbfae47937ad9a52',1,'CAN_NDAT2_Type']]],
['nd6_5761',['ND6',['../unionCAN__NDAT1__Type.html#a3c7b85a0716c2ad029ab717f56f3b548',1,'CAN_NDAT1_Type']]],
['nd60_5762',['ND60',['../unionCAN__NDAT2__Type.html#a420b68c310c622b8026ec3430d201fd5',1,'CAN_NDAT2_Type']]],
['nd61_5763',['ND61',['../unionCAN__NDAT2__Type.html#a9985e53bfeb237a7172e53605a99eb09',1,'CAN_NDAT2_Type']]],
['nd62_5764',['ND62',['../unionCAN__NDAT2__Type.html#aad301d69eafbc50e0122dab5bf1be3a6',1,'CAN_NDAT2_Type']]],
['nd63_5765',['ND63',['../unionCAN__NDAT2__Type.html#a03fc83fba97303152e6bdfc7b95aba60',1,'CAN_NDAT2_Type']]],
['nd7_5766',['ND7',['../unionCAN__NDAT1__Type.html#ad7bc5c8a887fefd58d77010a8c496211',1,'CAN_NDAT1_Type']]],
['nd8_5767',['ND8',['../unionCAN__NDAT1__Type.html#a34b40964092baf34c4ba8509014980c4',1,'CAN_NDAT1_Type']]],
['nd9_5768',['ND9',['../unionCAN__NDAT1__Type.html#a5b1bbf61dd60eaa53e3087c8ddd64aed',1,'CAN_NDAT1_Type']]],
['ndat1_5769',['NDAT1',['../structCan.html#a7d3508b2b5d43a0337c9fa6ec7a8e576',1,'Can']]],
['ndat2_5770',['NDAT2',['../structCan.html#a24fb0c71b3f13fee5d2275f351b0974c',1,'Can']]],
['ndtb_5771',['NDTB',['../unionCAN__TXBC__Type.html#af859aeb73386eaf0ac36f30fd7c156c8',1,'CAN_TXBC_Type']]],
['newmsg_5772',['NEWMSG',['../unionAES__CTRLB__Type.html#abe825134364341c05b58c0586c3b408a',1,'AES_CTRLB_Type']]],
['nfrx_5773',['NFRX',['../unionGMAC__BFR64__Type.html#a311a214a772fb4658de69e38b7f08bb8',1,'GMAC_BFR64_Type::NFRX()'],['../unionGMAC__TBFR127__Type.html#a83c4c96602134ca49654369f8e08d839',1,'GMAC_TBFR127_Type::NFRX()'],['../unionGMAC__TBFR255__Type.html#a1f00b6d8463a27abd90d06d4544485df',1,'GMAC_TBFR255_Type::NFRX()'],['../unionGMAC__TBFR511__Type.html#a03612bc9f0d277ad89246b0259838ed3',1,'GMAC_TBFR511_Type::NFRX()'],['../unionGMAC__TBFR1023__Type.html#aa4524f876f133900c758323c7295270b',1,'GMAC_TBFR1023_Type::NFRX()'],['../unionGMAC__TBFR1518__Type.html#ad61641db17819b4c813aef3124e98fc9',1,'GMAC_TBFR1518_Type::NFRX()'],['../unionGMAC__TMXBFR__Type.html#a8164666ea33a297a64bc661706282025',1,'GMAC_TMXBFR_Type::NFRX()']]],
['nftx_5774',['NFTX',['../unionGMAC__BFT64__Type.html#aa7a0940003b81743de63d4af0313b13e',1,'GMAC_BFT64_Type::NFTX()'],['../unionGMAC__TBFT127__Type.html#a0ec3a44f75e517d8d9bf954bfcee40fc',1,'GMAC_TBFT127_Type::NFTX()'],['../unionGMAC__TBFT255__Type.html#a72197c377b93cc1d9cbf60af80d75fa9',1,'GMAC_TBFT255_Type::NFTX()'],['../unionGMAC__TBFT511__Type.html#a54d3b8a7d03e486e1575bb67d436e8d4',1,'GMAC_TBFT511_Type::NFTX()'],['../unionGMAC__TBFT1023__Type.html#afcb0c4589e9554712bc44e2df28350cb',1,'GMAC_TBFT1023_Type::NFTX()'],['../unionGMAC__TBFT1518__Type.html#a5cfd18aabee353b26da909c0e985d20a',1,'GMAC_TBFT1518_Type::NFTX()'],['../unionGMAC__GTBFT1518__Type.html#a715c0f4257079b6571525733bc6b6b25',1,'GMAC_GTBFT1518_Type::NFTX()']]],
['nidbg_5775',['NIDBG',['../unionSDHC__DBGR__Type.html#a80d9eb036950d91d98c5ef9572dab0c9',1,'SDHC_DBGR_Type']]],
['nisier_5776',['NISIER',['../structSdhc.html#afdd268f6b489d081c4267344572c4dad',1,'Sdhc']]],
['niso_5777',['NISO',['../unionCAN__CCCR__Type.html#ae20f24b63efee35f10d5d05713eca167',1,'CAN_CCCR_Type']]],
['nister_5778',['NISTER',['../structSdhc.html#af3e4658556b475655f9e5f66bb185644',1,'Sdhc']]],
['nistr_5779',['NISTR',['../structSdhc.html#acf9f58587e04851bacc1d91079e81b32',1,'Sdhc']]],
['nit_5780',['NIT',['../unionGMAC__TI__Type.html#a05c1e971c682d6dccdec28ec88e46583',1,'GMAC_TI_Type']]],
['nmi_5781',['NMI',['../unionEIC__NMIFLAG__Type.html#ab5a19753495575fdd07220282f494e9a',1,'EIC_NMIFLAG_Type']]],
['nmiasynch_5782',['NMIASYNCH',['../unionEIC__NMICTRL__Type.html#a495b651964123217420a71dfa17066b6',1,'EIC_NMICTRL_Type']]],
['nmictrl_5783',['NMICTRL',['../structEic.html#abe387c3f6c50f72e37e91adf3d9eac06',1,'Eic']]],
['nmiex_5784',['NMIEX',['../unionPICOP__CMD__Type.html#a00b268c216b59b55cc66c007ae53e3a7',1,'PICOP_CMD_Type']]],
['nmifilten_5785',['NMIFILTEN',['../unionEIC__NMICTRL__Type.html#ae8c7e49526fb6d130c2e551a8c6fc898',1,'EIC_NMICTRL_Type']]],
['nmiflag_5786',['NMIFLAG',['../structEic.html#a5e489fa962a835e2d06a4dceb6e2b7b8',1,'Eic']]],
['nmisense_5787',['NMISENSE',['../unionEIC__NMICTRL__Type.html#acf1ff36d19d1bf77eb5da09816f60a45',1,'EIC_NMICTRL_Type']]],
['nonmaskableint_5firqn_5788',['NonMaskableInt_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p20a.h']]],
['nre_5789',['NRE',['../unionTCC__DRVCTRL__Type.html#a006e93a72ddb57ed133776a414c9ed25',1,'TCC_DRVCTRL_Type']]],
['nre0_5790',['NRE0',['../unionTCC__DRVCTRL__Type.html#ab84534a435d999e0e197f18af30250b7',1,'TCC_DRVCTRL_Type']]],
['nre1_5791',['NRE1',['../unionTCC__DRVCTRL__Type.html#a234ebd19a2b68b8b4386f4b3524c4ceb',1,'TCC_DRVCTRL_Type']]],
['nre2_5792',['NRE2',['../unionTCC__DRVCTRL__Type.html#ac3dd3aed1a46778072dbd6ceabae18fd',1,'TCC_DRVCTRL_Type']]],
['nre3_5793',['NRE3',['../unionTCC__DRVCTRL__Type.html#a52c3aa6ca5542de33374b36a41d5e94c',1,'TCC_DRVCTRL_Type']]],
['nre4_5794',['NRE4',['../unionTCC__DRVCTRL__Type.html#a098156b8bcb9b82f1ef09539fe9e4742',1,'TCC_DRVCTRL_Type']]],
['nre5_5795',['NRE5',['../unionTCC__DRVCTRL__Type.html#a0200b3e1d7c9da1fcb0a94798bf04989',1,'TCC_DRVCTRL_Type']]],
['nre6_5796',['NRE6',['../unionTCC__DRVCTRL__Type.html#af73130b3fe993cf341baebeb3f3ed75b',1,'TCC_DRVCTRL_Type']]],
['nre7_5797',['NRE7',['../unionTCC__DRVCTRL__Type.html#a45f21c7019abe55e4e8940381aff88b2',1,'TCC_DRVCTRL_Type']]],
['nreply_5798',['NREPLY',['../unionUSB__DEVICE__CTRLB__Type.html#af979a9da5595aa2440e820d5f5833d61',1,'USB_DEVICE_CTRLB_Type']]],
['nrv_5799',['NRV',['../unionTCC__DRVCTRL__Type.html#af169ee6466c287f8f8e993281ea83cfd',1,'TCC_DRVCTRL_Type']]],
['nrv0_5800',['NRV0',['../unionTCC__DRVCTRL__Type.html#ad10cf3934dc81802e587c63c6d77abeb',1,'TCC_DRVCTRL_Type']]],
['nrv1_5801',['NRV1',['../unionTCC__DRVCTRL__Type.html#a906ac2487eaaf0612a7cbacd851fe006',1,'TCC_DRVCTRL_Type']]],
['nrv2_5802',['NRV2',['../unionTCC__DRVCTRL__Type.html#a68ed1eace2e3d3d5bfde44907f23e83a',1,'TCC_DRVCTRL_Type']]],
['nrv3_5803',['NRV3',['../unionTCC__DRVCTRL__Type.html#a7abbad94a2b1842a49217596e3c971d7',1,'TCC_DRVCTRL_Type']]],
['nrv4_5804',['NRV4',['../unionTCC__DRVCTRL__Type.html#af7d2a07faf073db98185b669159823f5',1,'TCC_DRVCTRL_Type']]],
['nrv5_5805',['NRV5',['../unionTCC__DRVCTRL__Type.html#a5c638d16d3d67601e767af16d0dc8090',1,'TCC_DRVCTRL_Type']]],
['nrv6_5806',['NRV6',['../unionTCC__DRVCTRL__Type.html#a0bc3ddcfe4e33b63250f2e50de24640c',1,'TCC_DRVCTRL_Type']]],
['nrv7_5807',['NRV7',['../unionTCC__DRVCTRL__Type.html#ab390b588352e0a9966b5bbc448c03731',1,'TCC_DRVCTRL_Type']]],
['nsc_5808',['NSC',['../structGmac.html#a05105ef43e087c84f65859774058391c',1,'Gmac']]],
['nsjw_5809',['NSJW',['../unionCAN__NBTP__Type.html#a0c46efdb7e534f1b9c37ed4fc0e3f404',1,'CAN_NBTP_Type']]],
['nsr_5810',['NSR',['../structGmac.html#a0b1cc0188ea56c107e0b836de9bcb356',1,'Gmac']]],
['ntseg1_5811',['NTSEG1',['../unionCAN__NBTP__Type.html#afd712fbb08c751273005caf8b6438b5b',1,'CAN_NBTP_Type']]],
['ntseg2_5812',['NTSEG2',['../unionCAN__NBTP__Type.html#a5ad03cafc1a796a2d23d0ac087da7079',1,'CAN_NBTP_Type']]],
['nvm_5813',['NVM',['../unionRSTC__RCAUSE__Type.html#adc0477d48554b0ddaf196648e369b71a',1,'RSTC_RCAUSE_Type']]],
['nvmctrl_5814',['Nvmctrl',['../structNvmctrl.html',1,'Nvmctrl'],['../same54n19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p20a.h']]],
['nvmctrl_2eh_5815',['nvmctrl.h',['../component_2nvmctrl_8h.html',1,'(Global Namespace)'],['../instance_2nvmctrl_8h.html',1,'(Global Namespace)']]],
['nvmctrl_5f_5816',['NVMCTRL_',['../unionMCLK__AHBMASK__Type.html#a704c3ce3dd3205d0bb00c6c2884d7b21',1,'MCLK_AHBMASK_Type::NVMCTRL_()'],['../unionMCLK__APBBMASK__Type.html#adc7b386d0d0aa95498c70630a8eab6e9',1,'MCLK_APBBMASK_Type::NVMCTRL_()'],['../unionPAC__INTFLAGB__Type.html#a9dad06dddaea9e7c0418c07e829c3d92',1,'PAC_INTFLAGB_Type::NVMCTRL_()'],['../unionPAC__STATUSB__Type.html#a7ca7d7fd80bac2ffe7dcccacd05a5544',1,'PAC_STATUSB_Type::NVMCTRL_()']]],
['nvmctrl_5f0_5firqn_5817',['NVMCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f1_5firqn_5818',['NVMCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5faddr_5faddr_5fpos_5819',['NVMCTRL_ADDR_ADDR_Pos',['../component_2nvmctrl_8h.html#a7af974b171527d13bf216b3d5ef5650b',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fmask_5820',['NVMCTRL_ADDR_MASK',['../component_2nvmctrl_8h.html#ab7e62000f793147227a50fa0217a0efd',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5foffset_5821',['NVMCTRL_ADDR_OFFSET',['../component_2nvmctrl_8h.html#a2540268cdeb3644aa722cb8cce5546c8',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fresetvalue_5822',['NVMCTRL_ADDR_RESETVALUE',['../component_2nvmctrl_8h.html#a150652b364483d20d947140a67e2fe25',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5ftype_5823',['NVMCTRL_ADDR_Type',['../unionNVMCTRL__ADDR__Type.html',1,'']]],
['nvmctrl_5fcache_5f_5824',['NVMCTRL_CACHE_',['../unionMCLK__AHBMASK__Type.html#a5bd04ab7f81e23a37e7a32ace41bca67',1,'MCLK_AHBMASK_Type']]],
['nvmctrl_5fctrla_5fahbns0_5fpos_5825',['NVMCTRL_CTRLA_AHBNS0_Pos',['../component_2nvmctrl_8h.html#aaf7319d1b7b994e5238f58155453a3bd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns1_5fpos_5826',['NVMCTRL_CTRLA_AHBNS1_Pos',['../component_2nvmctrl_8h.html#a657739dc21d96ce606df063bbcabb531',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fautows_5fpos_5827',['NVMCTRL_CTRLA_AUTOWS_Pos',['../component_2nvmctrl_8h.html#a257e603f4a7174e1d635448e228bfc07',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis0_5fpos_5828',['NVMCTRL_CTRLA_CACHEDIS0_Pos',['../component_2nvmctrl_8h.html#a4ecb1a6ccfe7b8164364078aac486806',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis1_5fpos_5829',['NVMCTRL_CTRLA_CACHEDIS1_Pos',['../component_2nvmctrl_8h.html#a80271bf72ecf3941808bbbd26df4f55c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fmask_5830',['NVMCTRL_CTRLA_MASK',['../component_2nvmctrl_8h.html#a59f02a3ccafaec97563b7369c88d548c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5foffset_5831',['NVMCTRL_CTRLA_OFFSET',['../component_2nvmctrl_8h.html#a4853195148a26c13e84af06b27099a5a',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5ffullauto_5fval_5832',['NVMCTRL_CTRLA_PRM_FULLAUTO_Val',['../component_2nvmctrl_8h.html#adb5e8d0001604c78e97b4df1d79c8bd2',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fmanual_5fval_5833',['NVMCTRL_CTRLA_PRM_MANUAL_Val',['../component_2nvmctrl_8h.html#a2eb1d721aa675d6e611dd76a807ca656',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fpos_5834',['NVMCTRL_CTRLA_PRM_Pos',['../component_2nvmctrl_8h.html#a0f41c800b0d4a71442b2386ed1cbf40e',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fsemiauto_5fval_5835',['NVMCTRL_CTRLA_PRM_SEMIAUTO_Val',['../component_2nvmctrl_8h.html#aac7012aec3df3a960aa7429f44d351e5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fresetvalue_5836',['NVMCTRL_CTRLA_RESETVALUE',['../component_2nvmctrl_8h.html#afda7d874f8e5f91f9590105c7bc984b5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5frws_5fpos_5837',['NVMCTRL_CTRLA_RWS_Pos',['../component_2nvmctrl_8h.html#a79492707dae78f3e00d769fc45a33fd3',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fsuspen_5fpos_5838',['NVMCTRL_CTRLA_SUSPEN_Pos',['../component_2nvmctrl_8h.html#a6aab60ee7f62c471607703ddd3b7b6e4',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5ftype_5839',['NVMCTRL_CTRLA_Type',['../unionNVMCTRL__CTRLA__Type.html',1,'']]],
['nvmctrl_5fctrla_5fwmode_5fadw_5fval_5840',['NVMCTRL_CTRLA_WMODE_ADW_Val',['../component_2nvmctrl_8h.html#a339f6e3dd7fd6de30c66c844b0387e8d',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fap_5fval_5841',['NVMCTRL_CTRLA_WMODE_AP_Val',['../component_2nvmctrl_8h.html#a01fc2f0de453700c5253ca7aefe693c5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5faqw_5fval_5842',['NVMCTRL_CTRLA_WMODE_AQW_Val',['../component_2nvmctrl_8h.html#a13be0616ab7d1862c3ec88ba15e65588',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fman_5fval_5843',['NVMCTRL_CTRLA_WMODE_MAN_Val',['../component_2nvmctrl_8h.html#a45ac920f783317e834656f1918eaebbd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fpos_5844',['NVMCTRL_CTRLA_WMODE_Pos',['../component_2nvmctrl_8h.html#a42f77205ee1d60ab6bde5ce2f96d81a4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees0_5fval_5845',['NVMCTRL_CTRLB_CMD_ASEES0_Val',['../component_2nvmctrl_8h.html#a026140326eacb0754ddca2c6cb722081',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees1_5fval_5846',['NVMCTRL_CTRLB_CMD_ASEES1_Val',['../component_2nvmctrl_8h.html#a4f80ee71f9e77eec26ae1185aefaada2',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fbkswrst_5fval_5847',['NVMCTRL_CTRLB_CMD_BKSWRST_Val',['../component_2nvmctrl_8h.html#a6f03386bf7b354fda9387d2c52db86d1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcbpdis_5fval_5848',['NVMCTRL_CTRLB_CMD_CBPDIS_Val',['../component_2nvmctrl_8h.html#a5a999f4f70c43b441a20c76c5ca8cc5c',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcelck_5fval_5849',['NVMCTRL_CTRLB_CMD_CELCK_Val',['../component_2nvmctrl_8h.html#a09c93870736f457ba8987109ae500640',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fceulck_5fval_5850',['NVMCTRL_CTRLB_CMD_CEULCK_Val',['../component_2nvmctrl_8h.html#ab97117cbba584df4bf0620b6a40ef844',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcprm_5fval_5851',['NVMCTRL_CTRLB_CMD_CPRM_Val',['../component_2nvmctrl_8h.html#ac190c581f785840caad085267458456e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5feb_5fval_5852',['NVMCTRL_CTRLB_CMD_EB_Val',['../component_2nvmctrl_8h.html#a0ed209557388aeb8be92cf517c864dcb',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fep_5fval_5853',['NVMCTRL_CTRLB_CMD_EP_Val',['../component_2nvmctrl_8h.html#adf1f5d8474585fee271f0962685af28d',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flr_5fval_5854',['NVMCTRL_CTRLB_CMD_LR_Val',['../component_2nvmctrl_8h.html#aac904813b0b4baaf6bc705eba9492484',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flsee_5fval_5855',['NVMCTRL_CTRLB_CMD_LSEE_Val',['../component_2nvmctrl_8h.html#a8b5bfcf07c93cafca14275059bae1063',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flseer_5fval_5856',['NVMCTRL_CTRLB_CMD_LSEER_Val',['../component_2nvmctrl_8h.html#a424082dbd173d10a016ce39edf650ac4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpbc_5fval_5857',['NVMCTRL_CTRLB_CMD_PBC_Val',['../component_2nvmctrl_8h.html#ab61f899ee1eb9d625ec3f4d2a17c9474',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpos_5858',['NVMCTRL_CTRLB_CMD_Pos',['../component_2nvmctrl_8h.html#ab5ece0aea3c767970b7e9a36d8ff5b09',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsbpdis_5fval_5859',['NVMCTRL_CTRLB_CMD_SBPDIS_Val',['../component_2nvmctrl_8h.html#a0b282c1f3bd4b7133f583caf095bd557',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeflush_5fval_5860',['NVMCTRL_CTRLB_CMD_SEEFLUSH_Val',['../component_2nvmctrl_8h.html#ae3721546ed0ce85d258030640db36cdf',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeraloc_5fval_5861',['NVMCTRL_CTRLB_CMD_SEERALOC_Val',['../component_2nvmctrl_8h.html#af7e06bd5d2cfb32c1840887fd46748c1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsprm_5fval_5862',['NVMCTRL_CTRLB_CMD_SPRM_Val',['../component_2nvmctrl_8h.html#ab6548cf96c5a7fd1b260f9be53ed6ced',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fssb_5fval_5863',['NVMCTRL_CTRLB_CMD_SSB_Val',['../component_2nvmctrl_8h.html#aadf20f72205d9c9fd72880936f9ee01f',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fswrst_5fval_5864',['NVMCTRL_CTRLB_CMD_SWRST_Val',['../component_2nvmctrl_8h.html#a6c2019650a4cd908d3ea5a338beb6ff4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fur_5fval_5865',['NVMCTRL_CTRLB_CMD_UR_Val',['../component_2nvmctrl_8h.html#a6ace8977becb3c48a551ab660ce60304',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fusee_5fval_5866',['NVMCTRL_CTRLB_CMD_USEE_Val',['../component_2nvmctrl_8h.html#af2c5cda4f74dd820709364d368d94d2e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fuseer_5fval_5867',['NVMCTRL_CTRLB_CMD_USEER_Val',['../component_2nvmctrl_8h.html#ac63d1fd2efbde3aebed27b21eb0c16e8',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwp_5fval_5868',['NVMCTRL_CTRLB_CMD_WP_Val',['../component_2nvmctrl_8h.html#a5d327d2e6f86cb3fbd2b6b4fd7cdd68e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwqw_5fval_5869',['NVMCTRL_CTRLB_CMD_WQW_Val',['../component_2nvmctrl_8h.html#af34525bef09fb504163897eef0150037',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fkey_5fval_5870',['NVMCTRL_CTRLB_CMDEX_KEY_Val',['../component_2nvmctrl_8h.html#ab22f4e79b9b7373890149b2fe0966192',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fpos_5871',['NVMCTRL_CTRLB_CMDEX_Pos',['../component_2nvmctrl_8h.html#aeea03491d70dfffbc8667e69e5aabca6',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fmask_5872',['NVMCTRL_CTRLB_MASK',['../component_2nvmctrl_8h.html#aaf2272ac3ee696dfe0d222a87bc7cbe1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5foffset_5873',['NVMCTRL_CTRLB_OFFSET',['../component_2nvmctrl_8h.html#a96b31df212035cedc4f6a370222e5b67',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fresetvalue_5874',['NVMCTRL_CTRLB_RESETVALUE',['../component_2nvmctrl_8h.html#ac0a835cf0ee617133dc445147d2af448',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5ftype_5875',['NVMCTRL_CTRLB_Type',['../unionNVMCTRL__CTRLB__Type.html',1,'']]],
['nvmctrl_5fdbgctrl_5feccdis_5fpos_5876',['NVMCTRL_DBGCTRL_ECCDIS_Pos',['../component_2nvmctrl_8h.html#a4b0945afc4044065bbc12602111b7203',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccelog_5fpos_5877',['NVMCTRL_DBGCTRL_ECCELOG_Pos',['../component_2nvmctrl_8h.html#aa58da7471715cdc607e846adaf1ec734',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fmask_5878',['NVMCTRL_DBGCTRL_MASK',['../component_2nvmctrl_8h.html#a206ada9fc0b8e925dc51272da5dff233',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5foffset_5879',['NVMCTRL_DBGCTRL_OFFSET',['../component_2nvmctrl_8h.html#abfc10a800250b88bc1aa6893d7f7807a',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fresetvalue_5880',['NVMCTRL_DBGCTRL_RESETVALUE',['../component_2nvmctrl_8h.html#a3caa773b6cf8933d60f4e96611415cdc',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5ftype_5881',['NVMCTRL_DBGCTRL_Type',['../unionNVMCTRL__DBGCTRL__Type.html',1,'']]],
['nvmctrl_5feccerr_5faddr_5fpos_5882',['NVMCTRL_ECCERR_ADDR_Pos',['../component_2nvmctrl_8h.html#a01bfc438608a32f2a18d81190a42b6b9',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fmask_5883',['NVMCTRL_ECCERR_MASK',['../component_2nvmctrl_8h.html#a2e98f8b8bdb2b318dcf8174add395063',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5foffset_5884',['NVMCTRL_ECCERR_OFFSET',['../component_2nvmctrl_8h.html#abd3a5dd0cfe3b66f6386e4f74b8fa85b',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fresetvalue_5885',['NVMCTRL_ECCERR_RESETVALUE',['../component_2nvmctrl_8h.html#ab797fb0ea4d59d05e33853bfdf7c1107',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftype_5886',['NVMCTRL_ECCERR_Type',['../unionNVMCTRL__ECCERR__Type.html',1,'']]],
['nvmctrl_5feccerr_5ftypeh_5fdual_5fval_5887',['NVMCTRL_ECCERR_TYPEH_DUAL_Val',['../component_2nvmctrl_8h.html#a458bd93782ff9661b5f6642cae0d50df',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fnone_5fval_5888',['NVMCTRL_ECCERR_TYPEH_NONE_Val',['../component_2nvmctrl_8h.html#aca1b74f0878dd8da1e328b08cff5e814',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fpos_5889',['NVMCTRL_ECCERR_TYPEH_Pos',['../component_2nvmctrl_8h.html#a0e34b7e5cff130c34ded4efec79fd9a1',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fsingle_5fval_5890',['NVMCTRL_ECCERR_TYPEH_SINGLE_Val',['../component_2nvmctrl_8h.html#a4f3f4387989bdb1fec66d5c8d38feb4e',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fdual_5fval_5891',['NVMCTRL_ECCERR_TYPEL_DUAL_Val',['../component_2nvmctrl_8h.html#a4db2a69ae48460249c3e8581e0fa2f27',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fnone_5fval_5892',['NVMCTRL_ECCERR_TYPEL_NONE_Val',['../component_2nvmctrl_8h.html#ad6c760c325868b652ea8549160dbfdea',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fpos_5893',['NVMCTRL_ECCERR_TYPEL_Pos',['../component_2nvmctrl_8h.html#a773b71ff442b075e909c294c8b32df20',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fsingle_5fval_5894',['NVMCTRL_ECCERR_TYPEL_SINGLE_Val',['../component_2nvmctrl_8h.html#a7181020ba94941e46f81935a64ca66ad',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fbootprot_5fpos_5895',['NVMCTRL_FUSES_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#ac2867e0c1d1fc1b327991134a24983ca',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fregion_5flocks_5fpos_5896',['NVMCTRL_FUSES_REGION_LOCKS_Pos',['../component_2nvmctrl_8h.html#a553b4d761e65080381c6af440abee0f0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseepsz_5fpos_5897',['NVMCTRL_FUSES_SEEPSZ_Pos',['../component_2nvmctrl_8h.html#ad8edf28ff4e7b8bb4fe9ffacbd1050a0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseesblk_5fpos_5898',['NVMCTRL_FUSES_SEESBLK_Pos',['../component_2nvmctrl_8h.html#a57166c3b4b4ee40c95300889a7c0ebd8',1,'nvmctrl.h']]],
['nvmctrl_5finst_5fnum_5899',['NVMCTRL_INST_NUM',['../same54n19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p20a.h']]],
['nvmctrl_5finsts_5900',['NVMCTRL_INSTS',['../same54n19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p20a.h']]],
['nvmctrl_5fintenclr_5faddre_5fpos_5901',['NVMCTRL_INTENCLR_ADDRE_Pos',['../component_2nvmctrl_8h.html#a008fce13f42375fa0ec8dfa8ee6e25ad',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fdone_5fpos_5902',['NVMCTRL_INTENCLR_DONE_Pos',['../component_2nvmctrl_8h.html#ab303d4dd896628711c798a2d81c243e9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccde_5fpos_5903',['NVMCTRL_INTENCLR_ECCDE_Pos',['../component_2nvmctrl_8h.html#a014cf0f8bd9d879896f26f9aa95b1d5f',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccse_5fpos_5904',['NVMCTRL_INTENCLR_ECCSE_Pos',['../component_2nvmctrl_8h.html#a86ceb9ac83904784c8ad062991023a48',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5flocke_5fpos_5905',['NVMCTRL_INTENCLR_LOCKE_Pos',['../component_2nvmctrl_8h.html#ac1fa728d12605ea718efcc90936647d0',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fmask_5906',['NVMCTRL_INTENCLR_MASK',['../component_2nvmctrl_8h.html#a399cac515ae8b6bfd864314732defbe9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fnvme_5fpos_5907',['NVMCTRL_INTENCLR_NVME_Pos',['../component_2nvmctrl_8h.html#af50b2e19d9eafcb99eb73dc8e63236d9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5foffset_5908',['NVMCTRL_INTENCLR_OFFSET',['../component_2nvmctrl_8h.html#af69e18ecea21ffbf47dc28f66161a768',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fproge_5fpos_5909',['NVMCTRL_INTENCLR_PROGE_Pos',['../component_2nvmctrl_8h.html#a52b68cb512c19b5711f09698f6b93493',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fresetvalue_5910',['NVMCTRL_INTENCLR_RESETVALUE',['../component_2nvmctrl_8h.html#acc5d7dab614b1d4e05402a8c97de3954',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesfull_5fpos_5911',['NVMCTRL_INTENCLR_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a1790fb571650fdddf88e6901335be515',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesovf_5fpos_5912',['NVMCTRL_INTENCLR_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a743129233f8516c29b12e381951077dd',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseewrc_5fpos_5913',['NVMCTRL_INTENCLR_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af2ac2b08e506101132051821be8847c2',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fsusp_5fpos_5914',['NVMCTRL_INTENCLR_SUSP_Pos',['../component_2nvmctrl_8h.html#a8c0417f3d672b2fd21a2864eb416d1f8',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5ftype_5915',['NVMCTRL_INTENCLR_Type',['../unionNVMCTRL__INTENCLR__Type.html',1,'']]],
['nvmctrl_5fintenset_5faddre_5fpos_5916',['NVMCTRL_INTENSET_ADDRE_Pos',['../component_2nvmctrl_8h.html#a7ce68e10f261102ba48b958d85fa3e97',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fdone_5fpos_5917',['NVMCTRL_INTENSET_DONE_Pos',['../component_2nvmctrl_8h.html#a0a8101c9e2b9d16bc4a8a1edbb3ff97a',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccde_5fpos_5918',['NVMCTRL_INTENSET_ECCDE_Pos',['../component_2nvmctrl_8h.html#aaf23d6f9f57daf3723ba6c3bf85a1ffd',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccse_5fpos_5919',['NVMCTRL_INTENSET_ECCSE_Pos',['../component_2nvmctrl_8h.html#ae004bc06eb8e11c5a364b0de5edf369f',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5flocke_5fpos_5920',['NVMCTRL_INTENSET_LOCKE_Pos',['../component_2nvmctrl_8h.html#a83f6cb52007a64ba21de6b059c78a530',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fmask_5921',['NVMCTRL_INTENSET_MASK',['../component_2nvmctrl_8h.html#ac49294b6f689477f53cabe7aba8a4975',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fnvme_5fpos_5922',['NVMCTRL_INTENSET_NVME_Pos',['../component_2nvmctrl_8h.html#a05655c435fcc534d5b7aee5f88809a70',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5foffset_5923',['NVMCTRL_INTENSET_OFFSET',['../component_2nvmctrl_8h.html#acbc15881a076ca6d111540304e571a20',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fproge_5fpos_5924',['NVMCTRL_INTENSET_PROGE_Pos',['../component_2nvmctrl_8h.html#ab0e7649e23ebb619b9f3cba68aa1650e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fresetvalue_5925',['NVMCTRL_INTENSET_RESETVALUE',['../component_2nvmctrl_8h.html#ad48eea420ef04c6f0c75a074991ad6d6',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesfull_5fpos_5926',['NVMCTRL_INTENSET_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a7114d359880d3c94e97b543c6e6ea9cf',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesovf_5fpos_5927',['NVMCTRL_INTENSET_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a98a8f6fcee8a62386dbc640ad5e1979e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseewrc_5fpos_5928',['NVMCTRL_INTENSET_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af1c59aa0745e03430697b51742b6c2ba',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fsusp_5fpos_5929',['NVMCTRL_INTENSET_SUSP_Pos',['../component_2nvmctrl_8h.html#a59b343b6ae84f68d8b6e2230f88fbd0d',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5ftype_5930',['NVMCTRL_INTENSET_Type',['../unionNVMCTRL__INTENSET__Type.html',1,'']]],
['nvmctrl_5fintflag_5faddre_5fpos_5931',['NVMCTRL_INTFLAG_ADDRE_Pos',['../component_2nvmctrl_8h.html#a108e21dc370bd9b52bd26614cdf00631',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fdone_5fpos_5932',['NVMCTRL_INTFLAG_DONE_Pos',['../component_2nvmctrl_8h.html#a22999317a65e0e9d3222617351fc58d1',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccde_5fpos_5933',['NVMCTRL_INTFLAG_ECCDE_Pos',['../component_2nvmctrl_8h.html#a9080bb2d763386195ec46a250d7a2089',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccse_5fpos_5934',['NVMCTRL_INTFLAG_ECCSE_Pos',['../component_2nvmctrl_8h.html#aff9c4e86b71922736f8e53c1cd89eb9f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5flocke_5fpos_5935',['NVMCTRL_INTFLAG_LOCKE_Pos',['../component_2nvmctrl_8h.html#a46d0a99797eecef352c91b78662af79b',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fmask_5936',['NVMCTRL_INTFLAG_MASK',['../component_2nvmctrl_8h.html#a77cb82e875b1988ba35455d842df74c5',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fnvme_5fpos_5937',['NVMCTRL_INTFLAG_NVME_Pos',['../component_2nvmctrl_8h.html#aa646db9f5e0c170cbd083c99061dab17',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5foffset_5938',['NVMCTRL_INTFLAG_OFFSET',['../component_2nvmctrl_8h.html#a28bff79f1cf058fa8a6c7072f5913862',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fproge_5fpos_5939',['NVMCTRL_INTFLAG_PROGE_Pos',['../component_2nvmctrl_8h.html#a07cf1320b7671fcff3ed627f6ebb852f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fresetvalue_5940',['NVMCTRL_INTFLAG_RESETVALUE',['../component_2nvmctrl_8h.html#a2d7e54384a5f6445cc9b9769ddf32ac2',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesfull_5fpos_5941',['NVMCTRL_INTFLAG_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a760882172efec65a277f3e50784f37ca',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesovf_5fpos_5942',['NVMCTRL_INTFLAG_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a1afdc393e53f834691319e75098b3fef',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseewrc_5fpos_5943',['NVMCTRL_INTFLAG_SEEWRC_Pos',['../component_2nvmctrl_8h.html#ad9fa2489bdc30ba3b9d5eef8c50551a6',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fsusp_5fpos_5944',['NVMCTRL_INTFLAG_SUSP_Pos',['../component_2nvmctrl_8h.html#a17ec7a0341ec9fb5fee40c713c541340',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5ftype_5945',['NVMCTRL_INTFLAG_Type',['../unionNVMCTRL__INTFLAG__Type.html',1,'']]],
['nvmctrl_5fparam_5fmask_5946',['NVMCTRL_PARAM_MASK',['../component_2nvmctrl_8h.html#aadfbc70cd6cbb088b215b8e6322c4295',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fnvmp_5fpos_5947',['NVMCTRL_PARAM_NVMP_Pos',['../component_2nvmctrl_8h.html#a08f9f5de86a6c44ce2d6ecdb9f995443',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5foffset_5948',['NVMCTRL_PARAM_OFFSET',['../component_2nvmctrl_8h.html#a6624597605fc956079a9818778a34abe',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f1024_5fval_5949',['NVMCTRL_PARAM_PSZ_1024_Val',['../component_2nvmctrl_8h.html#a7bffb82ccc9a71552882baabdfa97b13',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f128_5fval_5950',['NVMCTRL_PARAM_PSZ_128_Val',['../component_2nvmctrl_8h.html#ac8f620598445b047b44dfc8081439744',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f16_5fval_5951',['NVMCTRL_PARAM_PSZ_16_Val',['../component_2nvmctrl_8h.html#a10b4a62c2bab14a45967ee663cfbdd10',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f256_5fval_5952',['NVMCTRL_PARAM_PSZ_256_Val',['../component_2nvmctrl_8h.html#a7f53d9c6161bf83ef35275e90438e9e2',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f32_5fval_5953',['NVMCTRL_PARAM_PSZ_32_Val',['../component_2nvmctrl_8h.html#ae80b28e70177db78d7d5a0d748809192',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f512_5fval_5954',['NVMCTRL_PARAM_PSZ_512_Val',['../component_2nvmctrl_8h.html#ac19388a03c011b81e82b35dfd7da69b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f64_5fval_5955',['NVMCTRL_PARAM_PSZ_64_Val',['../component_2nvmctrl_8h.html#a464e20508682489f9283f535148c27b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f8_5fval_5956',['NVMCTRL_PARAM_PSZ_8_Val',['../component_2nvmctrl_8h.html#a9a83c6574dc48ac1c69701673922d2f3',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5fpos_5957',['NVMCTRL_PARAM_PSZ_Pos',['../component_2nvmctrl_8h.html#abec5624158a60a0f0d0b8a62afe18af8',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fresetvalue_5958',['NVMCTRL_PARAM_RESETVALUE',['../component_2nvmctrl_8h.html#a70c3c90bcb2318bb472cc9565751ff04',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fsee_5fpos_5959',['NVMCTRL_PARAM_SEE_Pos',['../component_2nvmctrl_8h.html#ae0b8f7fc4e55aa43cca20f4c373aa876',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5ftype_5960',['NVMCTRL_PARAM_Type',['../unionNVMCTRL__PARAM__Type.html',1,'']]],
['nvmctrl_5fpbldata_5fdata_5fpos_5961',['NVMCTRL_PBLDATA_DATA_Pos',['../component_2nvmctrl_8h.html#adb49fcf33ccbd90ef286c0840bb0b4ae',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fmask_5962',['NVMCTRL_PBLDATA_MASK',['../component_2nvmctrl_8h.html#ad9d596008c4ae456d6aa37524ba3c4b9',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5foffset_5963',['NVMCTRL_PBLDATA_OFFSET',['../component_2nvmctrl_8h.html#a20335c5e4f98ea6f04cbfea8e216f424',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fresetvalue_5964',['NVMCTRL_PBLDATA_RESETVALUE',['../component_2nvmctrl_8h.html#a9453ad641763f2a598293f34c408f72e',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5ftype_5965',['NVMCTRL_PBLDATA_Type',['../unionNVMCTRL__PBLDATA__Type.html',1,'']]],
['nvmctrl_5frunlock_5fmask_5966',['NVMCTRL_RUNLOCK_MASK',['../component_2nvmctrl_8h.html#a765a44b584c77fcc4955ba5945d40f79',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5foffset_5967',['NVMCTRL_RUNLOCK_OFFSET',['../component_2nvmctrl_8h.html#a346dcd28aad5d36105d096721dfd91e1',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fresetvalue_5968',['NVMCTRL_RUNLOCK_RESETVALUE',['../component_2nvmctrl_8h.html#a8e6268896b6157a54f2d2d3436803364',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5frunlock_5fpos_5969',['NVMCTRL_RUNLOCK_RUNLOCK_Pos',['../component_2nvmctrl_8h.html#a4780bf981f03993af92e7eb985332369',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5ftype_5970',['NVMCTRL_RUNLOCK_Type',['../unionNVMCTRL__RUNLOCK__Type.html',1,'']]],
['nvmctrl_5fseecfg_5faprdis_5fpos_5971',['NVMCTRL_SEECFG_APRDIS_Pos',['../component_2nvmctrl_8h.html#a8495c34e37f84a9dd28292ba8138cfba',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fmask_5972',['NVMCTRL_SEECFG_MASK',['../component_2nvmctrl_8h.html#a32261b1b6bdab06eafe91ddc8ae9e7c1',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5foffset_5973',['NVMCTRL_SEECFG_OFFSET',['../component_2nvmctrl_8h.html#a411992b91d9f9833e5ffbb39750859eb',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fresetvalue_5974',['NVMCTRL_SEECFG_RESETVALUE',['../component_2nvmctrl_8h.html#ad064de9c2ca707bb0c511872eea0974c',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5ftype_5975',['NVMCTRL_SEECFG_Type',['../unionNVMCTRL__SEECFG__Type.html',1,'']]],
['nvmctrl_5fseecfg_5fwmode_5fbuffered_5fval_5976',['NVMCTRL_SEECFG_WMODE_BUFFERED_Val',['../component_2nvmctrl_8h.html#aeaea90b7ce256254fce4312fefd06eae',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fpos_5977',['NVMCTRL_SEECFG_WMODE_Pos',['../component_2nvmctrl_8h.html#ad1519e6fd3d749d2bd87d33fa75dc09a',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5funbuffered_5fval_5978',['NVMCTRL_SEECFG_WMODE_UNBUFFERED_Val',['../component_2nvmctrl_8h.html#adfe1789e19f27c333770c1aa553ffe2e',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fasees_5fpos_5979',['NVMCTRL_SEESTAT_ASEES_Pos',['../component_2nvmctrl_8h.html#a192d45485cf0699ee172d46e7c4e008a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fbusy_5fpos_5980',['NVMCTRL_SEESTAT_BUSY_Pos',['../component_2nvmctrl_8h.html#a27b49bd5f280d800ea54a20a23f990de',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fload_5fpos_5981',['NVMCTRL_SEESTAT_LOAD_Pos',['../component_2nvmctrl_8h.html#a5be1417f0473f1231f71d7577dca2424',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5flock_5fpos_5982',['NVMCTRL_SEESTAT_LOCK_Pos',['../component_2nvmctrl_8h.html#a365448dd65e94a559ad712d01f25ff5a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fmask_5983',['NVMCTRL_SEESTAT_MASK',['../component_2nvmctrl_8h.html#a6a42524260ced78dff10eb90993694c9',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5foffset_5984',['NVMCTRL_SEESTAT_OFFSET',['../component_2nvmctrl_8h.html#a9ef3bbd25a21be90dcbd24473b80d80b',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fpsz_5fpos_5985',['NVMCTRL_SEESTAT_PSZ_Pos',['../component_2nvmctrl_8h.html#a133e065f2ffafe19d26bb83bc68b321c',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fresetvalue_5986',['NVMCTRL_SEESTAT_RESETVALUE',['../component_2nvmctrl_8h.html#acaa208a2a6ae678e37dc65e11aacca55',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5frlock_5fpos_5987',['NVMCTRL_SEESTAT_RLOCK_Pos',['../component_2nvmctrl_8h.html#a65208ac7825e71e3cfb915ef80ba3ed4',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fsblk_5fpos_5988',['NVMCTRL_SEESTAT_SBLK_Pos',['../component_2nvmctrl_8h.html#a750e309549c3cd9c713c29f8a2a0d914',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5ftype_5989',['NVMCTRL_SEESTAT_Type',['../unionNVMCTRL__SEESTAT__Type.html',1,'']]],
['nvmctrl_5fsmeeprom_5f_5990',['NVMCTRL_SMEEPROM_',['../unionMCLK__AHBMASK__Type.html#a1d45d805e1429b2852111c044d8e7853',1,'MCLK_AHBMASK_Type']]],
['nvmctrl_5fstatus_5fafirst_5fpos_5991',['NVMCTRL_STATUS_AFIRST_Pos',['../component_2nvmctrl_8h.html#a8f50070babdcab2c53fdc877caad306f',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbootprot_5fpos_5992',['NVMCTRL_STATUS_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#a67bf7afdc8e516723f0115349cf1c9ed',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbpdis_5fpos_5993',['NVMCTRL_STATUS_BPDIS_Pos',['../component_2nvmctrl_8h.html#a3e0feac79940a9612ff3509d5b30e8c6',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fload_5fpos_5994',['NVMCTRL_STATUS_LOAD_Pos',['../component_2nvmctrl_8h.html#af65957fca966956aebcb205a480376cf',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fmask_5995',['NVMCTRL_STATUS_MASK',['../component_2nvmctrl_8h.html#acb0fa540b3def00184ee02da753f171e',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5foffset_5996',['NVMCTRL_STATUS_OFFSET',['../component_2nvmctrl_8h.html#aa210cb991451778d9df1a804b189799a',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fprm_5fpos_5997',['NVMCTRL_STATUS_PRM_Pos',['../component_2nvmctrl_8h.html#ab7f1c04b15d3c4fc8c9ab4bfbfd0731c',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fready_5fpos_5998',['NVMCTRL_STATUS_READY_Pos',['../component_2nvmctrl_8h.html#a6a3182ad9c4d093efbe119b510b43c39',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fresetvalue_5999',['NVMCTRL_STATUS_RESETVALUE',['../component_2nvmctrl_8h.html#ac30e36e00c486c218383a4d318fe59c8',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fsusp_5fpos_6000',['NVMCTRL_STATUS_SUSP_Pos',['../component_2nvmctrl_8h.html#a204d7eb1505d3f46301eb0f829b977bd',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5ftype_6001',['NVMCTRL_STATUS_Type',['../unionNVMCTRL__STATUS__Type.html',1,'']]],
['nvmctrl_5fsw0_6002',['NVMCTRL_SW0',['../same54n19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n19a.h'],['../same54n20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n20a.h'],['../same54p19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p19a.h'],['../same54p20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p20a.h']]],
['nvmctrl_5ftemp_5flog_6003',['NVMCTRL_TEMP_LOG',['../same54n19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n19a.h'],['../same54n20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n20a.h'],['../same54p19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p19a.h'],['../same54p20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p20a.h']]],
['nvmctrl_5fuser_6004',['NVMCTRL_USER',['../same54n19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n19a.h'],['../same54n20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n20a.h'],['../same54p19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p19a.h'],['../same54p20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p20a.h']]],
['nvme_6005',['NVME',['../unionNVMCTRL__INTENCLR__Type.html#ad8f42a0e30a1faa286a7ca808a63a7c0',1,'NVMCTRL_INTENCLR_Type::NVME()'],['../unionNVMCTRL__INTENSET__Type.html#a3453ea9b60b5aab08ac77b077050d18c',1,'NVMCTRL_INTENSET_Type::NVME()'],['../unionNVMCTRL__INTFLAG__Type.html#a3c01595f7b8459a0a7abd00360e82415',1,'NVMCTRL_INTFLAG_Type::NVME()']]],
['nvmp_6006',['NVMP',['../unionNVMCTRL__PARAM__Type.html#ab027731ea54fbc5dc42c1f1eb988a498',1,'NVMCTRL_PARAM_Type']]],
['nyetdis_6007',['NYETDIS',['../unionUSB__DEVICE__EPCFG__Type.html#a2e4bb183d6619fcfd326e7d2b734033f',1,'USB_DEVICE_EPCFG_Type']]],
['non_2dvolatile_20memory_20controller_6008',['Non-Volatile Memory Controller',['../group__SAME54__NVMCTRL.html',1,'']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['_5fdevicevectors_15525',['_DeviceVectors',['../struct__DeviceVectors.html',1,'']]]
['_5fdevicevectors_15560',['_DeviceVectors',['../struct__DeviceVectors.html',1,'']]]
];

@ -1,58 +1,58 @@
var searchData=
[
['ac_15526',['Ac',['../structAc.html',1,'']]],
['ac_5fcalib_5ftype_15527',['AC_CALIB_Type',['../unionAC__CALIB__Type.html',1,'']]],
['ac_5fcompctrl_5ftype_15528',['AC_COMPCTRL_Type',['../unionAC__COMPCTRL__Type.html',1,'']]],
['ac_5fctrla_5ftype_15529',['AC_CTRLA_Type',['../unionAC__CTRLA__Type.html',1,'']]],
['ac_5fctrlb_5ftype_15530',['AC_CTRLB_Type',['../unionAC__CTRLB__Type.html',1,'']]],
['ac_5fdbgctrl_5ftype_15531',['AC_DBGCTRL_Type',['../unionAC__DBGCTRL__Type.html',1,'']]],
['ac_5fevctrl_5ftype_15532',['AC_EVCTRL_Type',['../unionAC__EVCTRL__Type.html',1,'']]],
['ac_5fintenclr_5ftype_15533',['AC_INTENCLR_Type',['../unionAC__INTENCLR__Type.html',1,'']]],
['ac_5fintenset_5ftype_15534',['AC_INTENSET_Type',['../unionAC__INTENSET__Type.html',1,'']]],
['ac_5fintflag_5ftype_15535',['AC_INTFLAG_Type',['../unionAC__INTFLAG__Type.html',1,'']]],
['ac_5fscaler_5ftype_15536',['AC_SCALER_Type',['../unionAC__SCALER__Type.html',1,'']]],
['ac_5fstatusa_5ftype_15537',['AC_STATUSA_Type',['../unionAC__STATUSA__Type.html',1,'']]],
['ac_5fstatusb_5ftype_15538',['AC_STATUSB_Type',['../unionAC__STATUSB__Type.html',1,'']]],
['ac_5fsyncbusy_5ftype_15539',['AC_SYNCBUSY_Type',['../unionAC__SYNCBUSY__Type.html',1,'']]],
['ac_5fwinctrl_5ftype_15540',['AC_WINCTRL_Type',['../unionAC__WINCTRL__Type.html',1,'']]],
['adc_15541',['Adc',['../structAdc.html',1,'']]],
['adc_5favgctrl_5ftype_15542',['ADC_AVGCTRL_Type',['../unionADC__AVGCTRL__Type.html',1,'']]],
['adc_5fcalib_5ftype_15543',['ADC_CALIB_Type',['../unionADC__CALIB__Type.html',1,'']]],
['adc_5fctrla_5ftype_15544',['ADC_CTRLA_Type',['../unionADC__CTRLA__Type.html',1,'']]],
['adc_5fctrlb_5ftype_15545',['ADC_CTRLB_Type',['../unionADC__CTRLB__Type.html',1,'']]],
['adc_5fdbgctrl_5ftype_15546',['ADC_DBGCTRL_Type',['../unionADC__DBGCTRL__Type.html',1,'']]],
['adc_5fdseqctrl_5ftype_15547',['ADC_DSEQCTRL_Type',['../unionADC__DSEQCTRL__Type.html',1,'']]],
['adc_5fdseqdata_5ftype_15548',['ADC_DSEQDATA_Type',['../unionADC__DSEQDATA__Type.html',1,'']]],
['adc_5fdseqstat_5ftype_15549',['ADC_DSEQSTAT_Type',['../unionADC__DSEQSTAT__Type.html',1,'']]],
['adc_5fevctrl_5ftype_15550',['ADC_EVCTRL_Type',['../unionADC__EVCTRL__Type.html',1,'']]],
['adc_5fgaincorr_5ftype_15551',['ADC_GAINCORR_Type',['../unionADC__GAINCORR__Type.html',1,'']]],
['adc_5finputctrl_5ftype_15552',['ADC_INPUTCTRL_Type',['../unionADC__INPUTCTRL__Type.html',1,'']]],
['adc_5fintenclr_5ftype_15553',['ADC_INTENCLR_Type',['../unionADC__INTENCLR__Type.html',1,'']]],
['adc_5fintenset_5ftype_15554',['ADC_INTENSET_Type',['../unionADC__INTENSET__Type.html',1,'']]],
['adc_5fintflag_5ftype_15555',['ADC_INTFLAG_Type',['../unionADC__INTFLAG__Type.html',1,'']]],
['adc_5foffsetcorr_5ftype_15556',['ADC_OFFSETCORR_Type',['../unionADC__OFFSETCORR__Type.html',1,'']]],
['adc_5frefctrl_5ftype_15557',['ADC_REFCTRL_Type',['../unionADC__REFCTRL__Type.html',1,'']]],
['adc_5fress_5ftype_15558',['ADC_RESS_Type',['../unionADC__RESS__Type.html',1,'']]],
['adc_5fresult_5ftype_15559',['ADC_RESULT_Type',['../unionADC__RESULT__Type.html',1,'']]],
['adc_5fsampctrl_5ftype_15560',['ADC_SAMPCTRL_Type',['../unionADC__SAMPCTRL__Type.html',1,'']]],
['adc_5fstatus_5ftype_15561',['ADC_STATUS_Type',['../unionADC__STATUS__Type.html',1,'']]],
['adc_5fswtrig_5ftype_15562',['ADC_SWTRIG_Type',['../unionADC__SWTRIG__Type.html',1,'']]],
['adc_5fsyncbusy_5ftype_15563',['ADC_SYNCBUSY_Type',['../unionADC__SYNCBUSY__Type.html',1,'']]],
['adc_5fwinlt_5ftype_15564',['ADC_WINLT_Type',['../unionADC__WINLT__Type.html',1,'']]],
['adc_5fwinut_5ftype_15565',['ADC_WINUT_Type',['../unionADC__WINUT__Type.html',1,'']]],
['aes_15566',['Aes',['../structAes.html',1,'']]],
['aes_5fciplen_5ftype_15567',['AES_CIPLEN_Type',['../unionAES__CIPLEN__Type.html',1,'']]],
['aes_5fctrla_5ftype_15568',['AES_CTRLA_Type',['../unionAES__CTRLA__Type.html',1,'']]],
['aes_5fctrlb_5ftype_15569',['AES_CTRLB_Type',['../unionAES__CTRLB__Type.html',1,'']]],
['aes_5fdatabufptr_5ftype_15570',['AES_DATABUFPTR_Type',['../unionAES__DATABUFPTR__Type.html',1,'']]],
['aes_5fdbgctrl_5ftype_15571',['AES_DBGCTRL_Type',['../unionAES__DBGCTRL__Type.html',1,'']]],
['aes_5fghash_5ftype_15572',['AES_GHASH_Type',['../unionAES__GHASH__Type.html',1,'']]],
['aes_5fhashkey_5ftype_15573',['AES_HASHKEY_Type',['../unionAES__HASHKEY__Type.html',1,'']]],
['aes_5findata_5ftype_15574',['AES_INDATA_Type',['../unionAES__INDATA__Type.html',1,'']]],
['aes_5fintenclr_5ftype_15575',['AES_INTENCLR_Type',['../unionAES__INTENCLR__Type.html',1,'']]],
['aes_5fintenset_5ftype_15576',['AES_INTENSET_Type',['../unionAES__INTENSET__Type.html',1,'']]],
['aes_5fintflag_5ftype_15577',['AES_INTFLAG_Type',['../unionAES__INTFLAG__Type.html',1,'']]],
['aes_5fintvectv_5ftype_15578',['AES_INTVECTV_Type',['../unionAES__INTVECTV__Type.html',1,'']]],
['aes_5fkeyword_5ftype_15579',['AES_KEYWORD_Type',['../unionAES__KEYWORD__Type.html',1,'']]],
['aes_5frandseed_5ftype_15580',['AES_RANDSEED_Type',['../unionAES__RANDSEED__Type.html',1,'']]]
['ac_15561',['Ac',['../structAc.html',1,'']]],
['ac_5fcalib_5ftype_15562',['AC_CALIB_Type',['../unionAC__CALIB__Type.html',1,'']]],
['ac_5fcompctrl_5ftype_15563',['AC_COMPCTRL_Type',['../unionAC__COMPCTRL__Type.html',1,'']]],
['ac_5fctrla_5ftype_15564',['AC_CTRLA_Type',['../unionAC__CTRLA__Type.html',1,'']]],
['ac_5fctrlb_5ftype_15565',['AC_CTRLB_Type',['../unionAC__CTRLB__Type.html',1,'']]],
['ac_5fdbgctrl_5ftype_15566',['AC_DBGCTRL_Type',['../unionAC__DBGCTRL__Type.html',1,'']]],
['ac_5fevctrl_5ftype_15567',['AC_EVCTRL_Type',['../unionAC__EVCTRL__Type.html',1,'']]],
['ac_5fintenclr_5ftype_15568',['AC_INTENCLR_Type',['../unionAC__INTENCLR__Type.html',1,'']]],
['ac_5fintenset_5ftype_15569',['AC_INTENSET_Type',['../unionAC__INTENSET__Type.html',1,'']]],
['ac_5fintflag_5ftype_15570',['AC_INTFLAG_Type',['../unionAC__INTFLAG__Type.html',1,'']]],
['ac_5fscaler_5ftype_15571',['AC_SCALER_Type',['../unionAC__SCALER__Type.html',1,'']]],
['ac_5fstatusa_5ftype_15572',['AC_STATUSA_Type',['../unionAC__STATUSA__Type.html',1,'']]],
['ac_5fstatusb_5ftype_15573',['AC_STATUSB_Type',['../unionAC__STATUSB__Type.html',1,'']]],
['ac_5fsyncbusy_5ftype_15574',['AC_SYNCBUSY_Type',['../unionAC__SYNCBUSY__Type.html',1,'']]],
['ac_5fwinctrl_5ftype_15575',['AC_WINCTRL_Type',['../unionAC__WINCTRL__Type.html',1,'']]],
['adc_15576',['Adc',['../structAdc.html',1,'']]],
['adc_5favgctrl_5ftype_15577',['ADC_AVGCTRL_Type',['../unionADC__AVGCTRL__Type.html',1,'']]],
['adc_5fcalib_5ftype_15578',['ADC_CALIB_Type',['../unionADC__CALIB__Type.html',1,'']]],
['adc_5fctrla_5ftype_15579',['ADC_CTRLA_Type',['../unionADC__CTRLA__Type.html',1,'']]],
['adc_5fctrlb_5ftype_15580',['ADC_CTRLB_Type',['../unionADC__CTRLB__Type.html',1,'']]],
['adc_5fdbgctrl_5ftype_15581',['ADC_DBGCTRL_Type',['../unionADC__DBGCTRL__Type.html',1,'']]],
['adc_5fdseqctrl_5ftype_15582',['ADC_DSEQCTRL_Type',['../unionADC__DSEQCTRL__Type.html',1,'']]],
['adc_5fdseqdata_5ftype_15583',['ADC_DSEQDATA_Type',['../unionADC__DSEQDATA__Type.html',1,'']]],
['adc_5fdseqstat_5ftype_15584',['ADC_DSEQSTAT_Type',['../unionADC__DSEQSTAT__Type.html',1,'']]],
['adc_5fevctrl_5ftype_15585',['ADC_EVCTRL_Type',['../unionADC__EVCTRL__Type.html',1,'']]],
['adc_5fgaincorr_5ftype_15586',['ADC_GAINCORR_Type',['../unionADC__GAINCORR__Type.html',1,'']]],
['adc_5finputctrl_5ftype_15587',['ADC_INPUTCTRL_Type',['../unionADC__INPUTCTRL__Type.html',1,'']]],
['adc_5fintenclr_5ftype_15588',['ADC_INTENCLR_Type',['../unionADC__INTENCLR__Type.html',1,'']]],
['adc_5fintenset_5ftype_15589',['ADC_INTENSET_Type',['../unionADC__INTENSET__Type.html',1,'']]],
['adc_5fintflag_5ftype_15590',['ADC_INTFLAG_Type',['../unionADC__INTFLAG__Type.html',1,'']]],
['adc_5foffsetcorr_5ftype_15591',['ADC_OFFSETCORR_Type',['../unionADC__OFFSETCORR__Type.html',1,'']]],
['adc_5frefctrl_5ftype_15592',['ADC_REFCTRL_Type',['../unionADC__REFCTRL__Type.html',1,'']]],
['adc_5fress_5ftype_15593',['ADC_RESS_Type',['../unionADC__RESS__Type.html',1,'']]],
['adc_5fresult_5ftype_15594',['ADC_RESULT_Type',['../unionADC__RESULT__Type.html',1,'']]],
['adc_5fsampctrl_5ftype_15595',['ADC_SAMPCTRL_Type',['../unionADC__SAMPCTRL__Type.html',1,'']]],
['adc_5fstatus_5ftype_15596',['ADC_STATUS_Type',['../unionADC__STATUS__Type.html',1,'']]],
['adc_5fswtrig_5ftype_15597',['ADC_SWTRIG_Type',['../unionADC__SWTRIG__Type.html',1,'']]],
['adc_5fsyncbusy_5ftype_15598',['ADC_SYNCBUSY_Type',['../unionADC__SYNCBUSY__Type.html',1,'']]],
['adc_5fwinlt_5ftype_15599',['ADC_WINLT_Type',['../unionADC__WINLT__Type.html',1,'']]],
['adc_5fwinut_5ftype_15600',['ADC_WINUT_Type',['../unionADC__WINUT__Type.html',1,'']]],
['aes_15601',['Aes',['../structAes.html',1,'']]],
['aes_5fciplen_5ftype_15602',['AES_CIPLEN_Type',['../unionAES__CIPLEN__Type.html',1,'']]],
['aes_5fctrla_5ftype_15603',['AES_CTRLA_Type',['../unionAES__CTRLA__Type.html',1,'']]],
['aes_5fctrlb_5ftype_15604',['AES_CTRLB_Type',['../unionAES__CTRLB__Type.html',1,'']]],
['aes_5fdatabufptr_5ftype_15605',['AES_DATABUFPTR_Type',['../unionAES__DATABUFPTR__Type.html',1,'']]],
['aes_5fdbgctrl_5ftype_15606',['AES_DBGCTRL_Type',['../unionAES__DBGCTRL__Type.html',1,'']]],
['aes_5fghash_5ftype_15607',['AES_GHASH_Type',['../unionAES__GHASH__Type.html',1,'']]],
['aes_5fhashkey_5ftype_15608',['AES_HASHKEY_Type',['../unionAES__HASHKEY__Type.html',1,'']]],
['aes_5findata_5ftype_15609',['AES_INDATA_Type',['../unionAES__INDATA__Type.html',1,'']]],
['aes_5fintenclr_5ftype_15610',['AES_INTENCLR_Type',['../unionAES__INTENCLR__Type.html',1,'']]],
['aes_5fintenset_5ftype_15611',['AES_INTENSET_Type',['../unionAES__INTENSET__Type.html',1,'']]],
['aes_5fintflag_5ftype_15612',['AES_INTFLAG_Type',['../unionAES__INTFLAG__Type.html',1,'']]],
['aes_5fintvectv_5ftype_15613',['AES_INTVECTV_Type',['../unionAES__INTVECTV__Type.html',1,'']]],
['aes_5fkeyword_5ftype_15614',['AES_KEYWORD_Type',['../unionAES__KEYWORD__Type.html',1,'']]],
['aes_5frandseed_5ftype_15615',['AES_RANDSEED_Type',['../unionAES__RANDSEED__Type.html',1,'']]]
];

@ -1,60 +1,60 @@
var searchData=
[
['tc_16254',['Tc',['../unionTc.html',1,'']]],
['tc_5fcount16_5fcc_5ftype_16255',['TC_COUNT16_CC_Type',['../unionTC__COUNT16__CC__Type.html',1,'']]],
['tc_5fcount16_5fccbuf_5ftype_16256',['TC_COUNT16_CCBUF_Type',['../unionTC__COUNT16__CCBUF__Type.html',1,'']]],
['tc_5fcount16_5fcount_5ftype_16257',['TC_COUNT16_COUNT_Type',['../unionTC__COUNT16__COUNT__Type.html',1,'']]],
['tc_5fcount32_5fcc_5ftype_16258',['TC_COUNT32_CC_Type',['../unionTC__COUNT32__CC__Type.html',1,'']]],
['tc_5fcount32_5fccbuf_5ftype_16259',['TC_COUNT32_CCBUF_Type',['../unionTC__COUNT32__CCBUF__Type.html',1,'']]],
['tc_5fcount32_5fcount_5ftype_16260',['TC_COUNT32_COUNT_Type',['../unionTC__COUNT32__COUNT__Type.html',1,'']]],
['tc_5fcount8_5fcc_5ftype_16261',['TC_COUNT8_CC_Type',['../unionTC__COUNT8__CC__Type.html',1,'']]],
['tc_5fcount8_5fccbuf_5ftype_16262',['TC_COUNT8_CCBUF_Type',['../unionTC__COUNT8__CCBUF__Type.html',1,'']]],
['tc_5fcount8_5fcount_5ftype_16263',['TC_COUNT8_COUNT_Type',['../unionTC__COUNT8__COUNT__Type.html',1,'']]],
['tc_5fcount8_5fper_5ftype_16264',['TC_COUNT8_PER_Type',['../unionTC__COUNT8__PER__Type.html',1,'']]],
['tc_5fcount8_5fperbuf_5ftype_16265',['TC_COUNT8_PERBUF_Type',['../unionTC__COUNT8__PERBUF__Type.html',1,'']]],
['tc_5fctrla_5ftype_16266',['TC_CTRLA_Type',['../unionTC__CTRLA__Type.html',1,'']]],
['tc_5fctrlbclr_5ftype_16267',['TC_CTRLBCLR_Type',['../unionTC__CTRLBCLR__Type.html',1,'']]],
['tc_5fctrlbset_5ftype_16268',['TC_CTRLBSET_Type',['../unionTC__CTRLBSET__Type.html',1,'']]],
['tc_5fdbgctrl_5ftype_16269',['TC_DBGCTRL_Type',['../unionTC__DBGCTRL__Type.html',1,'']]],
['tc_5fdrvctrl_5ftype_16270',['TC_DRVCTRL_Type',['../unionTC__DRVCTRL__Type.html',1,'']]],
['tc_5fevctrl_5ftype_16271',['TC_EVCTRL_Type',['../unionTC__EVCTRL__Type.html',1,'']]],
['tc_5fintenclr_5ftype_16272',['TC_INTENCLR_Type',['../unionTC__INTENCLR__Type.html',1,'']]],
['tc_5fintenset_5ftype_16273',['TC_INTENSET_Type',['../unionTC__INTENSET__Type.html',1,'']]],
['tc_5fintflag_5ftype_16274',['TC_INTFLAG_Type',['../unionTC__INTFLAG__Type.html',1,'']]],
['tc_5fstatus_5ftype_16275',['TC_STATUS_Type',['../unionTC__STATUS__Type.html',1,'']]],
['tc_5fsyncbusy_5ftype_16276',['TC_SYNCBUSY_Type',['../unionTC__SYNCBUSY__Type.html',1,'']]],
['tc_5fwave_5ftype_16277',['TC_WAVE_Type',['../unionTC__WAVE__Type.html',1,'']]],
['tcc_16278',['Tcc',['../structTcc.html',1,'']]],
['tcc_5fcc_5ftype_16279',['TCC_CC_Type',['../unionTCC__CC__Type.html',1,'']]],
['tcc_5fccbuf_5ftype_16280',['TCC_CCBUF_Type',['../unionTCC__CCBUF__Type.html',1,'']]],
['tcc_5fcount_5ftype_16281',['TCC_COUNT_Type',['../unionTCC__COUNT__Type.html',1,'']]],
['tcc_5fctrla_5ftype_16282',['TCC_CTRLA_Type',['../unionTCC__CTRLA__Type.html',1,'']]],
['tcc_5fctrlbclr_5ftype_16283',['TCC_CTRLBCLR_Type',['../unionTCC__CTRLBCLR__Type.html',1,'']]],
['tcc_5fctrlbset_5ftype_16284',['TCC_CTRLBSET_Type',['../unionTCC__CTRLBSET__Type.html',1,'']]],
['tcc_5fdbgctrl_5ftype_16285',['TCC_DBGCTRL_Type',['../unionTCC__DBGCTRL__Type.html',1,'']]],
['tcc_5fdrvctrl_5ftype_16286',['TCC_DRVCTRL_Type',['../unionTCC__DRVCTRL__Type.html',1,'']]],
['tcc_5fevctrl_5ftype_16287',['TCC_EVCTRL_Type',['../unionTCC__EVCTRL__Type.html',1,'']]],
['tcc_5ffctrla_5ftype_16288',['TCC_FCTRLA_Type',['../unionTCC__FCTRLA__Type.html',1,'']]],
['tcc_5ffctrlb_5ftype_16289',['TCC_FCTRLB_Type',['../unionTCC__FCTRLB__Type.html',1,'']]],
['tcc_5fintenclr_5ftype_16290',['TCC_INTENCLR_Type',['../unionTCC__INTENCLR__Type.html',1,'']]],
['tcc_5fintenset_5ftype_16291',['TCC_INTENSET_Type',['../unionTCC__INTENSET__Type.html',1,'']]],
['tcc_5fintflag_5ftype_16292',['TCC_INTFLAG_Type',['../unionTCC__INTFLAG__Type.html',1,'']]],
['tcc_5fpatt_5ftype_16293',['TCC_PATT_Type',['../unionTCC__PATT__Type.html',1,'']]],
['tcc_5fpattbuf_5ftype_16294',['TCC_PATTBUF_Type',['../unionTCC__PATTBUF__Type.html',1,'']]],
['tcc_5fper_5ftype_16295',['TCC_PER_Type',['../unionTCC__PER__Type.html',1,'']]],
['tcc_5fperbuf_5ftype_16296',['TCC_PERBUF_Type',['../unionTCC__PERBUF__Type.html',1,'']]],
['tcc_5fstatus_5ftype_16297',['TCC_STATUS_Type',['../unionTCC__STATUS__Type.html',1,'']]],
['tcc_5fsyncbusy_5ftype_16298',['TCC_SYNCBUSY_Type',['../unionTCC__SYNCBUSY__Type.html',1,'']]],
['tcc_5fwave_5ftype_16299',['TCC_WAVE_Type',['../unionTCC__WAVE__Type.html',1,'']]],
['tcc_5fwexctrl_5ftype_16300',['TCC_WEXCTRL_Type',['../unionTCC__WEXCTRL__Type.html',1,'']]],
['tccount16_16301',['TcCount16',['../structTcCount16.html',1,'']]],
['tccount32_16302',['TcCount32',['../structTcCount32.html',1,'']]],
['tccount8_16303',['TcCount8',['../structTcCount8.html',1,'']]],
['trng_16304',['Trng',['../structTrng.html',1,'']]],
['trng_5fctrla_5ftype_16305',['TRNG_CTRLA_Type',['../unionTRNG__CTRLA__Type.html',1,'']]],
['trng_5fdata_5ftype_16306',['TRNG_DATA_Type',['../unionTRNG__DATA__Type.html',1,'']]],
['trng_5fevctrl_5ftype_16307',['TRNG_EVCTRL_Type',['../unionTRNG__EVCTRL__Type.html',1,'']]],
['trng_5fintenclr_5ftype_16308',['TRNG_INTENCLR_Type',['../unionTRNG__INTENCLR__Type.html',1,'']]],
['trng_5fintenset_5ftype_16309',['TRNG_INTENSET_Type',['../unionTRNG__INTENSET__Type.html',1,'']]],
['trng_5fintflag_5ftype_16310',['TRNG_INTFLAG_Type',['../unionTRNG__INTFLAG__Type.html',1,'']]]
['tc_16289',['Tc',['../unionTc.html',1,'']]],
['tc_5fcount16_5fcc_5ftype_16290',['TC_COUNT16_CC_Type',['../unionTC__COUNT16__CC__Type.html',1,'']]],
['tc_5fcount16_5fccbuf_5ftype_16291',['TC_COUNT16_CCBUF_Type',['../unionTC__COUNT16__CCBUF__Type.html',1,'']]],
['tc_5fcount16_5fcount_5ftype_16292',['TC_COUNT16_COUNT_Type',['../unionTC__COUNT16__COUNT__Type.html',1,'']]],
['tc_5fcount32_5fcc_5ftype_16293',['TC_COUNT32_CC_Type',['../unionTC__COUNT32__CC__Type.html',1,'']]],
['tc_5fcount32_5fccbuf_5ftype_16294',['TC_COUNT32_CCBUF_Type',['../unionTC__COUNT32__CCBUF__Type.html',1,'']]],
['tc_5fcount32_5fcount_5ftype_16295',['TC_COUNT32_COUNT_Type',['../unionTC__COUNT32__COUNT__Type.html',1,'']]],
['tc_5fcount8_5fcc_5ftype_16296',['TC_COUNT8_CC_Type',['../unionTC__COUNT8__CC__Type.html',1,'']]],
['tc_5fcount8_5fccbuf_5ftype_16297',['TC_COUNT8_CCBUF_Type',['../unionTC__COUNT8__CCBUF__Type.html',1,'']]],
['tc_5fcount8_5fcount_5ftype_16298',['TC_COUNT8_COUNT_Type',['../unionTC__COUNT8__COUNT__Type.html',1,'']]],
['tc_5fcount8_5fper_5ftype_16299',['TC_COUNT8_PER_Type',['../unionTC__COUNT8__PER__Type.html',1,'']]],
['tc_5fcount8_5fperbuf_5ftype_16300',['TC_COUNT8_PERBUF_Type',['../unionTC__COUNT8__PERBUF__Type.html',1,'']]],
['tc_5fctrla_5ftype_16301',['TC_CTRLA_Type',['../unionTC__CTRLA__Type.html',1,'']]],
['tc_5fctrlbclr_5ftype_16302',['TC_CTRLBCLR_Type',['../unionTC__CTRLBCLR__Type.html',1,'']]],
['tc_5fctrlbset_5ftype_16303',['TC_CTRLBSET_Type',['../unionTC__CTRLBSET__Type.html',1,'']]],
['tc_5fdbgctrl_5ftype_16304',['TC_DBGCTRL_Type',['../unionTC__DBGCTRL__Type.html',1,'']]],
['tc_5fdrvctrl_5ftype_16305',['TC_DRVCTRL_Type',['../unionTC__DRVCTRL__Type.html',1,'']]],
['tc_5fevctrl_5ftype_16306',['TC_EVCTRL_Type',['../unionTC__EVCTRL__Type.html',1,'']]],
['tc_5fintenclr_5ftype_16307',['TC_INTENCLR_Type',['../unionTC__INTENCLR__Type.html',1,'']]],
['tc_5fintenset_5ftype_16308',['TC_INTENSET_Type',['../unionTC__INTENSET__Type.html',1,'']]],
['tc_5fintflag_5ftype_16309',['TC_INTFLAG_Type',['../unionTC__INTFLAG__Type.html',1,'']]],
['tc_5fstatus_5ftype_16310',['TC_STATUS_Type',['../unionTC__STATUS__Type.html',1,'']]],
['tc_5fsyncbusy_5ftype_16311',['TC_SYNCBUSY_Type',['../unionTC__SYNCBUSY__Type.html',1,'']]],
['tc_5fwave_5ftype_16312',['TC_WAVE_Type',['../unionTC__WAVE__Type.html',1,'']]],
['tcc_16313',['Tcc',['../structTcc.html',1,'']]],
['tcc_5fcc_5ftype_16314',['TCC_CC_Type',['../unionTCC__CC__Type.html',1,'']]],
['tcc_5fccbuf_5ftype_16315',['TCC_CCBUF_Type',['../unionTCC__CCBUF__Type.html',1,'']]],
['tcc_5fcount_5ftype_16316',['TCC_COUNT_Type',['../unionTCC__COUNT__Type.html',1,'']]],
['tcc_5fctrla_5ftype_16317',['TCC_CTRLA_Type',['../unionTCC__CTRLA__Type.html',1,'']]],
['tcc_5fctrlbclr_5ftype_16318',['TCC_CTRLBCLR_Type',['../unionTCC__CTRLBCLR__Type.html',1,'']]],
['tcc_5fctrlbset_5ftype_16319',['TCC_CTRLBSET_Type',['../unionTCC__CTRLBSET__Type.html',1,'']]],
['tcc_5fdbgctrl_5ftype_16320',['TCC_DBGCTRL_Type',['../unionTCC__DBGCTRL__Type.html',1,'']]],
['tcc_5fdrvctrl_5ftype_16321',['TCC_DRVCTRL_Type',['../unionTCC__DRVCTRL__Type.html',1,'']]],
['tcc_5fevctrl_5ftype_16322',['TCC_EVCTRL_Type',['../unionTCC__EVCTRL__Type.html',1,'']]],
['tcc_5ffctrla_5ftype_16323',['TCC_FCTRLA_Type',['../unionTCC__FCTRLA__Type.html',1,'']]],
['tcc_5ffctrlb_5ftype_16324',['TCC_FCTRLB_Type',['../unionTCC__FCTRLB__Type.html',1,'']]],
['tcc_5fintenclr_5ftype_16325',['TCC_INTENCLR_Type',['../unionTCC__INTENCLR__Type.html',1,'']]],
['tcc_5fintenset_5ftype_16326',['TCC_INTENSET_Type',['../unionTCC__INTENSET__Type.html',1,'']]],
['tcc_5fintflag_5ftype_16327',['TCC_INTFLAG_Type',['../unionTCC__INTFLAG__Type.html',1,'']]],
['tcc_5fpatt_5ftype_16328',['TCC_PATT_Type',['../unionTCC__PATT__Type.html',1,'']]],
['tcc_5fpattbuf_5ftype_16329',['TCC_PATTBUF_Type',['../unionTCC__PATTBUF__Type.html',1,'']]],
['tcc_5fper_5ftype_16330',['TCC_PER_Type',['../unionTCC__PER__Type.html',1,'']]],
['tcc_5fperbuf_5ftype_16331',['TCC_PERBUF_Type',['../unionTCC__PERBUF__Type.html',1,'']]],
['tcc_5fstatus_5ftype_16332',['TCC_STATUS_Type',['../unionTCC__STATUS__Type.html',1,'']]],
['tcc_5fsyncbusy_5ftype_16333',['TCC_SYNCBUSY_Type',['../unionTCC__SYNCBUSY__Type.html',1,'']]],
['tcc_5fwave_5ftype_16334',['TCC_WAVE_Type',['../unionTCC__WAVE__Type.html',1,'']]],
['tcc_5fwexctrl_5ftype_16335',['TCC_WEXCTRL_Type',['../unionTCC__WEXCTRL__Type.html',1,'']]],
['tccount16_16336',['TcCount16',['../structTcCount16.html',1,'']]],
['tccount32_16337',['TcCount32',['../structTcCount32.html',1,'']]],
['tccount8_16338',['TcCount8',['../structTcCount8.html',1,'']]],
['trng_16339',['Trng',['../structTrng.html',1,'']]],
['trng_5fctrla_5ftype_16340',['TRNG_CTRLA_Type',['../unionTRNG__CTRLA__Type.html',1,'']]],
['trng_5fdata_5ftype_16341',['TRNG_DATA_Type',['../unionTRNG__DATA__Type.html',1,'']]],
['trng_5fevctrl_5ftype_16342',['TRNG_EVCTRL_Type',['../unionTRNG__EVCTRL__Type.html',1,'']]],
['trng_5fintenclr_5ftype_16343',['TRNG_INTENCLR_Type',['../unionTRNG__INTENCLR__Type.html',1,'']]],
['trng_5fintenset_5ftype_16344',['TRNG_INTENSET_Type',['../unionTRNG__INTENSET__Type.html',1,'']]],
['trng_5fintflag_5ftype_16345',['TRNG_INTFLAG_Type',['../unionTRNG__INTFLAG__Type.html',1,'']]]
];

@ -1,60 +1,60 @@
var searchData=
[
['usb_16311',['Usb',['../unionUsb.html',1,'']]],
['usb_5fctrla_5ftype_16312',['USB_CTRLA_Type',['../unionUSB__CTRLA__Type.html',1,'']]],
['usb_5fdescadd_5ftype_16313',['USB_DESCADD_Type',['../unionUSB__DESCADD__Type.html',1,'']]],
['usb_5fdevice_5faddr_5ftype_16314',['USB_DEVICE_ADDR_Type',['../unionUSB__DEVICE__ADDR__Type.html',1,'']]],
['usb_5fdevice_5fctrlb_5ftype_16315',['USB_DEVICE_CTRLB_Type',['../unionUSB__DEVICE__CTRLB__Type.html',1,'']]],
['usb_5fdevice_5fdadd_5ftype_16316',['USB_DEVICE_DADD_Type',['../unionUSB__DEVICE__DADD__Type.html',1,'']]],
['usb_5fdevice_5fepcfg_5ftype_16317',['USB_DEVICE_EPCFG_Type',['../unionUSB__DEVICE__EPCFG__Type.html',1,'']]],
['usb_5fdevice_5fepintenclr_5ftype_16318',['USB_DEVICE_EPINTENCLR_Type',['../unionUSB__DEVICE__EPINTENCLR__Type.html',1,'']]],
['usb_5fdevice_5fepintenset_5ftype_16319',['USB_DEVICE_EPINTENSET_Type',['../unionUSB__DEVICE__EPINTENSET__Type.html',1,'']]],
['usb_5fdevice_5fepintflag_5ftype_16320',['USB_DEVICE_EPINTFLAG_Type',['../unionUSB__DEVICE__EPINTFLAG__Type.html',1,'']]],
['usb_5fdevice_5fepintsmry_5ftype_16321',['USB_DEVICE_EPINTSMRY_Type',['../unionUSB__DEVICE__EPINTSMRY__Type.html',1,'']]],
['usb_5fdevice_5fepstatus_5ftype_16322',['USB_DEVICE_EPSTATUS_Type',['../unionUSB__DEVICE__EPSTATUS__Type.html',1,'']]],
['usb_5fdevice_5fepstatusclr_5ftype_16323',['USB_DEVICE_EPSTATUSCLR_Type',['../unionUSB__DEVICE__EPSTATUSCLR__Type.html',1,'']]],
['usb_5fdevice_5fepstatusset_5ftype_16324',['USB_DEVICE_EPSTATUSSET_Type',['../unionUSB__DEVICE__EPSTATUSSET__Type.html',1,'']]],
['usb_5fdevice_5fextreg_5ftype_16325',['USB_DEVICE_EXTREG_Type',['../unionUSB__DEVICE__EXTREG__Type.html',1,'']]],
['usb_5fdevice_5ffnum_5ftype_16326',['USB_DEVICE_FNUM_Type',['../unionUSB__DEVICE__FNUM__Type.html',1,'']]],
['usb_5fdevice_5fintenclr_5ftype_16327',['USB_DEVICE_INTENCLR_Type',['../unionUSB__DEVICE__INTENCLR__Type.html',1,'']]],
['usb_5fdevice_5fintenset_5ftype_16328',['USB_DEVICE_INTENSET_Type',['../unionUSB__DEVICE__INTENSET__Type.html',1,'']]],
['usb_5fdevice_5fintflag_5ftype_16329',['USB_DEVICE_INTFLAG_Type',['../unionUSB__DEVICE__INTFLAG__Type.html',1,'']]],
['usb_5fdevice_5fpcksize_5ftype_16330',['USB_DEVICE_PCKSIZE_Type',['../unionUSB__DEVICE__PCKSIZE__Type.html',1,'']]],
['usb_5fdevice_5fstatus_5fbk_5ftype_16331',['USB_DEVICE_STATUS_BK_Type',['../unionUSB__DEVICE__STATUS__BK__Type.html',1,'']]],
['usb_5fdevice_5fstatus_5ftype_16332',['USB_DEVICE_STATUS_Type',['../unionUSB__DEVICE__STATUS__Type.html',1,'']]],
['usb_5ffsmstatus_5ftype_16333',['USB_FSMSTATUS_Type',['../unionUSB__FSMSTATUS__Type.html',1,'']]],
['usb_5fhost_5faddr_5ftype_16334',['USB_HOST_ADDR_Type',['../unionUSB__HOST__ADDR__Type.html',1,'']]],
['usb_5fhost_5fbinterval_5ftype_16335',['USB_HOST_BINTERVAL_Type',['../unionUSB__HOST__BINTERVAL__Type.html',1,'']]],
['usb_5fhost_5fctrl_5fpipe_5ftype_16336',['USB_HOST_CTRL_PIPE_Type',['../unionUSB__HOST__CTRL__PIPE__Type.html',1,'']]],
['usb_5fhost_5fctrlb_5ftype_16337',['USB_HOST_CTRLB_Type',['../unionUSB__HOST__CTRLB__Type.html',1,'']]],
['usb_5fhost_5fextreg_5ftype_16338',['USB_HOST_EXTREG_Type',['../unionUSB__HOST__EXTREG__Type.html',1,'']]],
['usb_5fhost_5fflenhigh_5ftype_16339',['USB_HOST_FLENHIGH_Type',['../unionUSB__HOST__FLENHIGH__Type.html',1,'']]],
['usb_5fhost_5ffnum_5ftype_16340',['USB_HOST_FNUM_Type',['../unionUSB__HOST__FNUM__Type.html',1,'']]],
['usb_5fhost_5fhsofc_5ftype_16341',['USB_HOST_HSOFC_Type',['../unionUSB__HOST__HSOFC__Type.html',1,'']]],
['usb_5fhost_5fintenclr_5ftype_16342',['USB_HOST_INTENCLR_Type',['../unionUSB__HOST__INTENCLR__Type.html',1,'']]],
['usb_5fhost_5fintenset_5ftype_16343',['USB_HOST_INTENSET_Type',['../unionUSB__HOST__INTENSET__Type.html',1,'']]],
['usb_5fhost_5fintflag_5ftype_16344',['USB_HOST_INTFLAG_Type',['../unionUSB__HOST__INTFLAG__Type.html',1,'']]],
['usb_5fhost_5fpcfg_5ftype_16345',['USB_HOST_PCFG_Type',['../unionUSB__HOST__PCFG__Type.html',1,'']]],
['usb_5fhost_5fpcksize_5ftype_16346',['USB_HOST_PCKSIZE_Type',['../unionUSB__HOST__PCKSIZE__Type.html',1,'']]],
['usb_5fhost_5fpintenclr_5ftype_16347',['USB_HOST_PINTENCLR_Type',['../unionUSB__HOST__PINTENCLR__Type.html',1,'']]],
['usb_5fhost_5fpintenset_5ftype_16348',['USB_HOST_PINTENSET_Type',['../unionUSB__HOST__PINTENSET__Type.html',1,'']]],
['usb_5fhost_5fpintflag_5ftype_16349',['USB_HOST_PINTFLAG_Type',['../unionUSB__HOST__PINTFLAG__Type.html',1,'']]],
['usb_5fhost_5fpintsmry_5ftype_16350',['USB_HOST_PINTSMRY_Type',['../unionUSB__HOST__PINTSMRY__Type.html',1,'']]],
['usb_5fhost_5fpstatus_5ftype_16351',['USB_HOST_PSTATUS_Type',['../unionUSB__HOST__PSTATUS__Type.html',1,'']]],
['usb_5fhost_5fpstatusclr_5ftype_16352',['USB_HOST_PSTATUSCLR_Type',['../unionUSB__HOST__PSTATUSCLR__Type.html',1,'']]],
['usb_5fhost_5fpstatusset_5ftype_16353',['USB_HOST_PSTATUSSET_Type',['../unionUSB__HOST__PSTATUSSET__Type.html',1,'']]],
['usb_5fhost_5fstatus_5fbk_5ftype_16354',['USB_HOST_STATUS_BK_Type',['../unionUSB__HOST__STATUS__BK__Type.html',1,'']]],
['usb_5fhost_5fstatus_5fpipe_5ftype_16355',['USB_HOST_STATUS_PIPE_Type',['../unionUSB__HOST__STATUS__PIPE__Type.html',1,'']]],
['usb_5fhost_5fstatus_5ftype_16356',['USB_HOST_STATUS_Type',['../unionUSB__HOST__STATUS__Type.html',1,'']]],
['usb_5fpadcal_5ftype_16357',['USB_PADCAL_Type',['../unionUSB__PADCAL__Type.html',1,'']]],
['usb_5fqosctrl_5ftype_16358',['USB_QOSCTRL_Type',['../unionUSB__QOSCTRL__Type.html',1,'']]],
['usb_5fsyncbusy_5ftype_16359',['USB_SYNCBUSY_Type',['../unionUSB__SYNCBUSY__Type.html',1,'']]],
['usbdevice_16360',['UsbDevice',['../structUsbDevice.html',1,'']]],
['usbdevicedescbank_16361',['UsbDeviceDescBank',['../structUsbDeviceDescBank.html',1,'']]],
['usbdevicedescriptor_16362',['UsbDeviceDescriptor',['../structUsbDeviceDescriptor.html',1,'']]],
['usbdeviceendpoint_16363',['UsbDeviceEndpoint',['../structUsbDeviceEndpoint.html',1,'']]],
['usbhost_16364',['UsbHost',['../structUsbHost.html',1,'']]],
['usbhostdescbank_16365',['UsbHostDescBank',['../structUsbHostDescBank.html',1,'']]],
['usbhostdescriptor_16366',['UsbHostDescriptor',['../structUsbHostDescriptor.html',1,'']]],
['usbhostpipe_16367',['UsbHostPipe',['../structUsbHostPipe.html',1,'']]]
['usb_16346',['Usb',['../unionUsb.html',1,'']]],
['usb_5fctrla_5ftype_16347',['USB_CTRLA_Type',['../unionUSB__CTRLA__Type.html',1,'']]],
['usb_5fdescadd_5ftype_16348',['USB_DESCADD_Type',['../unionUSB__DESCADD__Type.html',1,'']]],
['usb_5fdevice_5faddr_5ftype_16349',['USB_DEVICE_ADDR_Type',['../unionUSB__DEVICE__ADDR__Type.html',1,'']]],
['usb_5fdevice_5fctrlb_5ftype_16350',['USB_DEVICE_CTRLB_Type',['../unionUSB__DEVICE__CTRLB__Type.html',1,'']]],
['usb_5fdevice_5fdadd_5ftype_16351',['USB_DEVICE_DADD_Type',['../unionUSB__DEVICE__DADD__Type.html',1,'']]],
['usb_5fdevice_5fepcfg_5ftype_16352',['USB_DEVICE_EPCFG_Type',['../unionUSB__DEVICE__EPCFG__Type.html',1,'']]],
['usb_5fdevice_5fepintenclr_5ftype_16353',['USB_DEVICE_EPINTENCLR_Type',['../unionUSB__DEVICE__EPINTENCLR__Type.html',1,'']]],
['usb_5fdevice_5fepintenset_5ftype_16354',['USB_DEVICE_EPINTENSET_Type',['../unionUSB__DEVICE__EPINTENSET__Type.html',1,'']]],
['usb_5fdevice_5fepintflag_5ftype_16355',['USB_DEVICE_EPINTFLAG_Type',['../unionUSB__DEVICE__EPINTFLAG__Type.html',1,'']]],
['usb_5fdevice_5fepintsmry_5ftype_16356',['USB_DEVICE_EPINTSMRY_Type',['../unionUSB__DEVICE__EPINTSMRY__Type.html',1,'']]],
['usb_5fdevice_5fepstatus_5ftype_16357',['USB_DEVICE_EPSTATUS_Type',['../unionUSB__DEVICE__EPSTATUS__Type.html',1,'']]],
['usb_5fdevice_5fepstatusclr_5ftype_16358',['USB_DEVICE_EPSTATUSCLR_Type',['../unionUSB__DEVICE__EPSTATUSCLR__Type.html',1,'']]],
['usb_5fdevice_5fepstatusset_5ftype_16359',['USB_DEVICE_EPSTATUSSET_Type',['../unionUSB__DEVICE__EPSTATUSSET__Type.html',1,'']]],
['usb_5fdevice_5fextreg_5ftype_16360',['USB_DEVICE_EXTREG_Type',['../unionUSB__DEVICE__EXTREG__Type.html',1,'']]],
['usb_5fdevice_5ffnum_5ftype_16361',['USB_DEVICE_FNUM_Type',['../unionUSB__DEVICE__FNUM__Type.html',1,'']]],
['usb_5fdevice_5fintenclr_5ftype_16362',['USB_DEVICE_INTENCLR_Type',['../unionUSB__DEVICE__INTENCLR__Type.html',1,'']]],
['usb_5fdevice_5fintenset_5ftype_16363',['USB_DEVICE_INTENSET_Type',['../unionUSB__DEVICE__INTENSET__Type.html',1,'']]],
['usb_5fdevice_5fintflag_5ftype_16364',['USB_DEVICE_INTFLAG_Type',['../unionUSB__DEVICE__INTFLAG__Type.html',1,'']]],
['usb_5fdevice_5fpcksize_5ftype_16365',['USB_DEVICE_PCKSIZE_Type',['../unionUSB__DEVICE__PCKSIZE__Type.html',1,'']]],
['usb_5fdevice_5fstatus_5fbk_5ftype_16366',['USB_DEVICE_STATUS_BK_Type',['../unionUSB__DEVICE__STATUS__BK__Type.html',1,'']]],
['usb_5fdevice_5fstatus_5ftype_16367',['USB_DEVICE_STATUS_Type',['../unionUSB__DEVICE__STATUS__Type.html',1,'']]],
['usb_5ffsmstatus_5ftype_16368',['USB_FSMSTATUS_Type',['../unionUSB__FSMSTATUS__Type.html',1,'']]],
['usb_5fhost_5faddr_5ftype_16369',['USB_HOST_ADDR_Type',['../unionUSB__HOST__ADDR__Type.html',1,'']]],
['usb_5fhost_5fbinterval_5ftype_16370',['USB_HOST_BINTERVAL_Type',['../unionUSB__HOST__BINTERVAL__Type.html',1,'']]],
['usb_5fhost_5fctrl_5fpipe_5ftype_16371',['USB_HOST_CTRL_PIPE_Type',['../unionUSB__HOST__CTRL__PIPE__Type.html',1,'']]],
['usb_5fhost_5fctrlb_5ftype_16372',['USB_HOST_CTRLB_Type',['../unionUSB__HOST__CTRLB__Type.html',1,'']]],
['usb_5fhost_5fextreg_5ftype_16373',['USB_HOST_EXTREG_Type',['../unionUSB__HOST__EXTREG__Type.html',1,'']]],
['usb_5fhost_5fflenhigh_5ftype_16374',['USB_HOST_FLENHIGH_Type',['../unionUSB__HOST__FLENHIGH__Type.html',1,'']]],
['usb_5fhost_5ffnum_5ftype_16375',['USB_HOST_FNUM_Type',['../unionUSB__HOST__FNUM__Type.html',1,'']]],
['usb_5fhost_5fhsofc_5ftype_16376',['USB_HOST_HSOFC_Type',['../unionUSB__HOST__HSOFC__Type.html',1,'']]],
['usb_5fhost_5fintenclr_5ftype_16377',['USB_HOST_INTENCLR_Type',['../unionUSB__HOST__INTENCLR__Type.html',1,'']]],
['usb_5fhost_5fintenset_5ftype_16378',['USB_HOST_INTENSET_Type',['../unionUSB__HOST__INTENSET__Type.html',1,'']]],
['usb_5fhost_5fintflag_5ftype_16379',['USB_HOST_INTFLAG_Type',['../unionUSB__HOST__INTFLAG__Type.html',1,'']]],
['usb_5fhost_5fpcfg_5ftype_16380',['USB_HOST_PCFG_Type',['../unionUSB__HOST__PCFG__Type.html',1,'']]],
['usb_5fhost_5fpcksize_5ftype_16381',['USB_HOST_PCKSIZE_Type',['../unionUSB__HOST__PCKSIZE__Type.html',1,'']]],
['usb_5fhost_5fpintenclr_5ftype_16382',['USB_HOST_PINTENCLR_Type',['../unionUSB__HOST__PINTENCLR__Type.html',1,'']]],
['usb_5fhost_5fpintenset_5ftype_16383',['USB_HOST_PINTENSET_Type',['../unionUSB__HOST__PINTENSET__Type.html',1,'']]],
['usb_5fhost_5fpintflag_5ftype_16384',['USB_HOST_PINTFLAG_Type',['../unionUSB__HOST__PINTFLAG__Type.html',1,'']]],
['usb_5fhost_5fpintsmry_5ftype_16385',['USB_HOST_PINTSMRY_Type',['../unionUSB__HOST__PINTSMRY__Type.html',1,'']]],
['usb_5fhost_5fpstatus_5ftype_16386',['USB_HOST_PSTATUS_Type',['../unionUSB__HOST__PSTATUS__Type.html',1,'']]],
['usb_5fhost_5fpstatusclr_5ftype_16387',['USB_HOST_PSTATUSCLR_Type',['../unionUSB__HOST__PSTATUSCLR__Type.html',1,'']]],
['usb_5fhost_5fpstatusset_5ftype_16388',['USB_HOST_PSTATUSSET_Type',['../unionUSB__HOST__PSTATUSSET__Type.html',1,'']]],
['usb_5fhost_5fstatus_5fbk_5ftype_16389',['USB_HOST_STATUS_BK_Type',['../unionUSB__HOST__STATUS__BK__Type.html',1,'']]],
['usb_5fhost_5fstatus_5fpipe_5ftype_16390',['USB_HOST_STATUS_PIPE_Type',['../unionUSB__HOST__STATUS__PIPE__Type.html',1,'']]],
['usb_5fhost_5fstatus_5ftype_16391',['USB_HOST_STATUS_Type',['../unionUSB__HOST__STATUS__Type.html',1,'']]],
['usb_5fpadcal_5ftype_16392',['USB_PADCAL_Type',['../unionUSB__PADCAL__Type.html',1,'']]],
['usb_5fqosctrl_5ftype_16393',['USB_QOSCTRL_Type',['../unionUSB__QOSCTRL__Type.html',1,'']]],
['usb_5fsyncbusy_5ftype_16394',['USB_SYNCBUSY_Type',['../unionUSB__SYNCBUSY__Type.html',1,'']]],
['usbdevice_16395',['UsbDevice',['../structUsbDevice.html',1,'']]],
['usbdevicedescbank_16396',['UsbDeviceDescBank',['../structUsbDeviceDescBank.html',1,'']]],
['usbdevicedescriptor_16397',['UsbDeviceDescriptor',['../structUsbDeviceDescriptor.html',1,'']]],
['usbdeviceendpoint_16398',['UsbDeviceEndpoint',['../structUsbDeviceEndpoint.html',1,'']]],
['usbhost_16399',['UsbHost',['../structUsbHost.html',1,'']]],
['usbhostdescbank_16400',['UsbHostDescBank',['../structUsbHostDescBank.html',1,'']]],
['usbhostdescriptor_16401',['UsbHostDescriptor',['../structUsbHostDescriptor.html',1,'']]],
['usbhostpipe_16402',['UsbHostPipe',['../structUsbHostPipe.html',1,'']]]
];

@ -1,12 +1,12 @@
var searchData=
[
['wdt_16368',['Wdt',['../structWdt.html',1,'']]],
['wdt_5fclear_5ftype_16369',['WDT_CLEAR_Type',['../unionWDT__CLEAR__Type.html',1,'']]],
['wdt_5fconfig_5ftype_16370',['WDT_CONFIG_Type',['../unionWDT__CONFIG__Type.html',1,'']]],
['wdt_5fctrla_5ftype_16371',['WDT_CTRLA_Type',['../unionWDT__CTRLA__Type.html',1,'']]],
['wdt_5fewctrl_5ftype_16372',['WDT_EWCTRL_Type',['../unionWDT__EWCTRL__Type.html',1,'']]],
['wdt_5fintenclr_5ftype_16373',['WDT_INTENCLR_Type',['../unionWDT__INTENCLR__Type.html',1,'']]],
['wdt_5fintenset_5ftype_16374',['WDT_INTENSET_Type',['../unionWDT__INTENSET__Type.html',1,'']]],
['wdt_5fintflag_5ftype_16375',['WDT_INTFLAG_Type',['../unionWDT__INTFLAG__Type.html',1,'']]],
['wdt_5fsyncbusy_5ftype_16376',['WDT_SYNCBUSY_Type',['../unionWDT__SYNCBUSY__Type.html',1,'']]]
['wdt_16403',['Wdt',['../structWdt.html',1,'']]],
['wdt_5fclear_5ftype_16404',['WDT_CLEAR_Type',['../unionWDT__CLEAR__Type.html',1,'']]],
['wdt_5fconfig_5ftype_16405',['WDT_CONFIG_Type',['../unionWDT__CONFIG__Type.html',1,'']]],
['wdt_5fctrla_5ftype_16406',['WDT_CTRLA_Type',['../unionWDT__CTRLA__Type.html',1,'']]],
['wdt_5fewctrl_5ftype_16407',['WDT_EWCTRL_Type',['../unionWDT__EWCTRL__Type.html',1,'']]],
['wdt_5fintenclr_5ftype_16408',['WDT_INTENCLR_Type',['../unionWDT__INTENCLR__Type.html',1,'']]],
['wdt_5fintenset_5ftype_16409',['WDT_INTENSET_Type',['../unionWDT__INTENSET__Type.html',1,'']]],
['wdt_5fintflag_5ftype_16410',['WDT_INTFLAG_Type',['../unionWDT__INTFLAG__Type.html',1,'']]],
['wdt_5fsyncbusy_5ftype_16411',['WDT_SYNCBUSY_Type',['../unionWDT__SYNCBUSY__Type.html',1,'']]]
];

@ -1,91 +1,91 @@
var searchData=
[
['can_15581',['Can',['../structCan.html',1,'']]],
['can_5fcccr_5ftype_15582',['CAN_CCCR_Type',['../unionCAN__CCCR__Type.html',1,'']]],
['can_5fcrel_5ftype_15583',['CAN_CREL_Type',['../unionCAN__CREL__Type.html',1,'']]],
['can_5fdbtp_5ftype_15584',['CAN_DBTP_Type',['../unionCAN__DBTP__Type.html',1,'']]],
['can_5fecr_5ftype_15585',['CAN_ECR_Type',['../unionCAN__ECR__Type.html',1,'']]],
['can_5fendn_5ftype_15586',['CAN_ENDN_Type',['../unionCAN__ENDN__Type.html',1,'']]],
['can_5fgfc_5ftype_15587',['CAN_GFC_Type',['../unionCAN__GFC__Type.html',1,'']]],
['can_5fhpms_5ftype_15588',['CAN_HPMS_Type',['../unionCAN__HPMS__Type.html',1,'']]],
['can_5fie_5ftype_15589',['CAN_IE_Type',['../unionCAN__IE__Type.html',1,'']]],
['can_5file_5ftype_15590',['CAN_ILE_Type',['../unionCAN__ILE__Type.html',1,'']]],
['can_5fils_5ftype_15591',['CAN_ILS_Type',['../unionCAN__ILS__Type.html',1,'']]],
['can_5fir_5ftype_15592',['CAN_IR_Type',['../unionCAN__IR__Type.html',1,'']]],
['can_5fmrcfg_5ftype_15593',['CAN_MRCFG_Type',['../unionCAN__MRCFG__Type.html',1,'']]],
['can_5fnbtp_5ftype_15594',['CAN_NBTP_Type',['../unionCAN__NBTP__Type.html',1,'']]],
['can_5fndat1_5ftype_15595',['CAN_NDAT1_Type',['../unionCAN__NDAT1__Type.html',1,'']]],
['can_5fndat2_5ftype_15596',['CAN_NDAT2_Type',['../unionCAN__NDAT2__Type.html',1,'']]],
['can_5fpsr_5ftype_15597',['CAN_PSR_Type',['../unionCAN__PSR__Type.html',1,'']]],
['can_5frwd_5ftype_15598',['CAN_RWD_Type',['../unionCAN__RWD__Type.html',1,'']]],
['can_5frxbc_5ftype_15599',['CAN_RXBC_Type',['../unionCAN__RXBC__Type.html',1,'']]],
['can_5frxbe_5f0_5ftype_15600',['CAN_RXBE_0_Type',['../unionCAN__RXBE__0__Type.html',1,'']]],
['can_5frxbe_5f1_5ftype_15601',['CAN_RXBE_1_Type',['../unionCAN__RXBE__1__Type.html',1,'']]],
['can_5frxbe_5fdata_5ftype_15602',['CAN_RXBE_DATA_Type',['../unionCAN__RXBE__DATA__Type.html',1,'']]],
['can_5frxesc_5ftype_15603',['CAN_RXESC_Type',['../unionCAN__RXESC__Type.html',1,'']]],
['can_5frxf0a_5ftype_15604',['CAN_RXF0A_Type',['../unionCAN__RXF0A__Type.html',1,'']]],
['can_5frxf0c_5ftype_15605',['CAN_RXF0C_Type',['../unionCAN__RXF0C__Type.html',1,'']]],
['can_5frxf0e_5f0_5ftype_15606',['CAN_RXF0E_0_Type',['../unionCAN__RXF0E__0__Type.html',1,'']]],
['can_5frxf0e_5f1_5ftype_15607',['CAN_RXF0E_1_Type',['../unionCAN__RXF0E__1__Type.html',1,'']]],
['can_5frxf0e_5fdata_5ftype_15608',['CAN_RXF0E_DATA_Type',['../unionCAN__RXF0E__DATA__Type.html',1,'']]],
['can_5frxf0s_5ftype_15609',['CAN_RXF0S_Type',['../unionCAN__RXF0S__Type.html',1,'']]],
['can_5frxf1a_5ftype_15610',['CAN_RXF1A_Type',['../unionCAN__RXF1A__Type.html',1,'']]],
['can_5frxf1c_5ftype_15611',['CAN_RXF1C_Type',['../unionCAN__RXF1C__Type.html',1,'']]],
['can_5frxf1e_5f0_5ftype_15612',['CAN_RXF1E_0_Type',['../unionCAN__RXF1E__0__Type.html',1,'']]],
['can_5frxf1e_5f1_5ftype_15613',['CAN_RXF1E_1_Type',['../unionCAN__RXF1E__1__Type.html',1,'']]],
['can_5frxf1e_5fdata_5ftype_15614',['CAN_RXF1E_DATA_Type',['../unionCAN__RXF1E__DATA__Type.html',1,'']]],
['can_5frxf1s_5ftype_15615',['CAN_RXF1S_Type',['../unionCAN__RXF1S__Type.html',1,'']]],
['can_5fsidfc_5ftype_15616',['CAN_SIDFC_Type',['../unionCAN__SIDFC__Type.html',1,'']]],
['can_5fsidfe_5f0_5ftype_15617',['CAN_SIDFE_0_Type',['../unionCAN__SIDFE__0__Type.html',1,'']]],
['can_5ftdcr_5ftype_15618',['CAN_TDCR_Type',['../unionCAN__TDCR__Type.html',1,'']]],
['can_5ftest_5ftype_15619',['CAN_TEST_Type',['../unionCAN__TEST__Type.html',1,'']]],
['can_5ftocc_5ftype_15620',['CAN_TOCC_Type',['../unionCAN__TOCC__Type.html',1,'']]],
['can_5ftocv_5ftype_15621',['CAN_TOCV_Type',['../unionCAN__TOCV__Type.html',1,'']]],
['can_5ftscc_5ftype_15622',['CAN_TSCC_Type',['../unionCAN__TSCC__Type.html',1,'']]],
['can_5ftscv_5ftype_15623',['CAN_TSCV_Type',['../unionCAN__TSCV__Type.html',1,'']]],
['can_5ftxbar_5ftype_15624',['CAN_TXBAR_Type',['../unionCAN__TXBAR__Type.html',1,'']]],
['can_5ftxbc_5ftype_15625',['CAN_TXBC_Type',['../unionCAN__TXBC__Type.html',1,'']]],
['can_5ftxbcf_5ftype_15626',['CAN_TXBCF_Type',['../unionCAN__TXBCF__Type.html',1,'']]],
['can_5ftxbcie_5ftype_15627',['CAN_TXBCIE_Type',['../unionCAN__TXBCIE__Type.html',1,'']]],
['can_5ftxbcr_5ftype_15628',['CAN_TXBCR_Type',['../unionCAN__TXBCR__Type.html',1,'']]],
['can_5ftxbe_5f0_5ftype_15629',['CAN_TXBE_0_Type',['../unionCAN__TXBE__0__Type.html',1,'']]],
['can_5ftxbe_5f1_5ftype_15630',['CAN_TXBE_1_Type',['../unionCAN__TXBE__1__Type.html',1,'']]],
['can_5ftxbe_5fdata_5ftype_15631',['CAN_TXBE_DATA_Type',['../unionCAN__TXBE__DATA__Type.html',1,'']]],
['can_5ftxbrp_5ftype_15632',['CAN_TXBRP_Type',['../unionCAN__TXBRP__Type.html',1,'']]],
['can_5ftxbtie_5ftype_15633',['CAN_TXBTIE_Type',['../unionCAN__TXBTIE__Type.html',1,'']]],
['can_5ftxbto_5ftype_15634',['CAN_TXBTO_Type',['../unionCAN__TXBTO__Type.html',1,'']]],
['can_5ftxefa_5ftype_15635',['CAN_TXEFA_Type',['../unionCAN__TXEFA__Type.html',1,'']]],
['can_5ftxefc_5ftype_15636',['CAN_TXEFC_Type',['../unionCAN__TXEFC__Type.html',1,'']]],
['can_5ftxefe_5f0_5ftype_15637',['CAN_TXEFE_0_Type',['../unionCAN__TXEFE__0__Type.html',1,'']]],
['can_5ftxefe_5f1_5ftype_15638',['CAN_TXEFE_1_Type',['../unionCAN__TXEFE__1__Type.html',1,'']]],
['can_5ftxefs_5ftype_15639',['CAN_TXEFS_Type',['../unionCAN__TXEFS__Type.html',1,'']]],
['can_5ftxesc_5ftype_15640',['CAN_TXESC_Type',['../unionCAN__TXESC__Type.html',1,'']]],
['can_5ftxfqs_5ftype_15641',['CAN_TXFQS_Type',['../unionCAN__TXFQS__Type.html',1,'']]],
['can_5fxidam_5ftype_15642',['CAN_XIDAM_Type',['../unionCAN__XIDAM__Type.html',1,'']]],
['can_5fxidfc_5ftype_15643',['CAN_XIDFC_Type',['../unionCAN__XIDFC__Type.html',1,'']]],
['can_5fxidfe_5f0_5ftype_15644',['CAN_XIDFE_0_Type',['../unionCAN__XIDFE__0__Type.html',1,'']]],
['can_5fxidfe_5f1_5ftype_15645',['CAN_XIDFE_1_Type',['../unionCAN__XIDFE__1__Type.html',1,'']]],
['canmramrxbe_15646',['CanMramRxbe',['../structCanMramRxbe.html',1,'']]],
['canmramrxf0e_15647',['CanMramRxf0e',['../structCanMramRxf0e.html',1,'']]],
['canmramrxf1e_15648',['CanMramRxf1e',['../structCanMramRxf1e.html',1,'']]],
['canmramsidfe_15649',['CanMramSidfe',['../structCanMramSidfe.html',1,'']]],
['canmramtxbe_15650',['CanMramTxbe',['../structCanMramTxbe.html',1,'']]],
['canmramtxefe_15651',['CanMramTxefe',['../structCanMramTxefe.html',1,'']]],
['canmramxifde_15652',['CanMramXifde',['../structCanMramXifde.html',1,'']]],
['ccl_15653',['Ccl',['../structCcl.html',1,'']]],
['ccl_5fctrl_5ftype_15654',['CCL_CTRL_Type',['../unionCCL__CTRL__Type.html',1,'']]],
['ccl_5flutctrl_5ftype_15655',['CCL_LUTCTRL_Type',['../unionCCL__LUTCTRL__Type.html',1,'']]],
['ccl_5fseqctrl_5ftype_15656',['CCL_SEQCTRL_Type',['../unionCCL__SEQCTRL__Type.html',1,'']]],
['cmcc_15657',['Cmcc',['../structCmcc.html',1,'']]],
['cmcc_5fcfg_5ftype_15658',['CMCC_CFG_Type',['../unionCMCC__CFG__Type.html',1,'']]],
['cmcc_5fctrl_5ftype_15659',['CMCC_CTRL_Type',['../unionCMCC__CTRL__Type.html',1,'']]],
['cmcc_5flckway_5ftype_15660',['CMCC_LCKWAY_Type',['../unionCMCC__LCKWAY__Type.html',1,'']]],
['cmcc_5fmaint0_5ftype_15661',['CMCC_MAINT0_Type',['../unionCMCC__MAINT0__Type.html',1,'']]],
['cmcc_5fmaint1_5ftype_15662',['CMCC_MAINT1_Type',['../unionCMCC__MAINT1__Type.html',1,'']]],
['cmcc_5fmcfg_5ftype_15663',['CMCC_MCFG_Type',['../unionCMCC__MCFG__Type.html',1,'']]],
['cmcc_5fmctrl_5ftype_15664',['CMCC_MCTRL_Type',['../unionCMCC__MCTRL__Type.html',1,'']]],
['cmcc_5fmen_5ftype_15665',['CMCC_MEN_Type',['../unionCMCC__MEN__Type.html',1,'']]],
['cmcc_5fmsr_5ftype_15666',['CMCC_MSR_Type',['../unionCMCC__MSR__Type.html',1,'']]],
['cmcc_5fsr_5ftype_15667',['CMCC_SR_Type',['../unionCMCC__SR__Type.html',1,'']]],
['cmcc_5ftype_5ftype_15668',['CMCC_TYPE_Type',['../unionCMCC__TYPE__Type.html',1,'']]]
['can_15616',['Can',['../structCan.html',1,'']]],
['can_5fcccr_5ftype_15617',['CAN_CCCR_Type',['../unionCAN__CCCR__Type.html',1,'']]],
['can_5fcrel_5ftype_15618',['CAN_CREL_Type',['../unionCAN__CREL__Type.html',1,'']]],
['can_5fdbtp_5ftype_15619',['CAN_DBTP_Type',['../unionCAN__DBTP__Type.html',1,'']]],
['can_5fecr_5ftype_15620',['CAN_ECR_Type',['../unionCAN__ECR__Type.html',1,'']]],
['can_5fendn_5ftype_15621',['CAN_ENDN_Type',['../unionCAN__ENDN__Type.html',1,'']]],
['can_5fgfc_5ftype_15622',['CAN_GFC_Type',['../unionCAN__GFC__Type.html',1,'']]],
['can_5fhpms_5ftype_15623',['CAN_HPMS_Type',['../unionCAN__HPMS__Type.html',1,'']]],
['can_5fie_5ftype_15624',['CAN_IE_Type',['../unionCAN__IE__Type.html',1,'']]],
['can_5file_5ftype_15625',['CAN_ILE_Type',['../unionCAN__ILE__Type.html',1,'']]],
['can_5fils_5ftype_15626',['CAN_ILS_Type',['../unionCAN__ILS__Type.html',1,'']]],
['can_5fir_5ftype_15627',['CAN_IR_Type',['../unionCAN__IR__Type.html',1,'']]],
['can_5fmrcfg_5ftype_15628',['CAN_MRCFG_Type',['../unionCAN__MRCFG__Type.html',1,'']]],
['can_5fnbtp_5ftype_15629',['CAN_NBTP_Type',['../unionCAN__NBTP__Type.html',1,'']]],
['can_5fndat1_5ftype_15630',['CAN_NDAT1_Type',['../unionCAN__NDAT1__Type.html',1,'']]],
['can_5fndat2_5ftype_15631',['CAN_NDAT2_Type',['../unionCAN__NDAT2__Type.html',1,'']]],
['can_5fpsr_5ftype_15632',['CAN_PSR_Type',['../unionCAN__PSR__Type.html',1,'']]],
['can_5frwd_5ftype_15633',['CAN_RWD_Type',['../unionCAN__RWD__Type.html',1,'']]],
['can_5frxbc_5ftype_15634',['CAN_RXBC_Type',['../unionCAN__RXBC__Type.html',1,'']]],
['can_5frxbe_5f0_5ftype_15635',['CAN_RXBE_0_Type',['../unionCAN__RXBE__0__Type.html',1,'']]],
['can_5frxbe_5f1_5ftype_15636',['CAN_RXBE_1_Type',['../unionCAN__RXBE__1__Type.html',1,'']]],
['can_5frxbe_5fdata_5ftype_15637',['CAN_RXBE_DATA_Type',['../unionCAN__RXBE__DATA__Type.html',1,'']]],
['can_5frxesc_5ftype_15638',['CAN_RXESC_Type',['../unionCAN__RXESC__Type.html',1,'']]],
['can_5frxf0a_5ftype_15639',['CAN_RXF0A_Type',['../unionCAN__RXF0A__Type.html',1,'']]],
['can_5frxf0c_5ftype_15640',['CAN_RXF0C_Type',['../unionCAN__RXF0C__Type.html',1,'']]],
['can_5frxf0e_5f0_5ftype_15641',['CAN_RXF0E_0_Type',['../unionCAN__RXF0E__0__Type.html',1,'']]],
['can_5frxf0e_5f1_5ftype_15642',['CAN_RXF0E_1_Type',['../unionCAN__RXF0E__1__Type.html',1,'']]],
['can_5frxf0e_5fdata_5ftype_15643',['CAN_RXF0E_DATA_Type',['../unionCAN__RXF0E__DATA__Type.html',1,'']]],
['can_5frxf0s_5ftype_15644',['CAN_RXF0S_Type',['../unionCAN__RXF0S__Type.html',1,'']]],
['can_5frxf1a_5ftype_15645',['CAN_RXF1A_Type',['../unionCAN__RXF1A__Type.html',1,'']]],
['can_5frxf1c_5ftype_15646',['CAN_RXF1C_Type',['../unionCAN__RXF1C__Type.html',1,'']]],
['can_5frxf1e_5f0_5ftype_15647',['CAN_RXF1E_0_Type',['../unionCAN__RXF1E__0__Type.html',1,'']]],
['can_5frxf1e_5f1_5ftype_15648',['CAN_RXF1E_1_Type',['../unionCAN__RXF1E__1__Type.html',1,'']]],
['can_5frxf1e_5fdata_5ftype_15649',['CAN_RXF1E_DATA_Type',['../unionCAN__RXF1E__DATA__Type.html',1,'']]],
['can_5frxf1s_5ftype_15650',['CAN_RXF1S_Type',['../unionCAN__RXF1S__Type.html',1,'']]],
['can_5fsidfc_5ftype_15651',['CAN_SIDFC_Type',['../unionCAN__SIDFC__Type.html',1,'']]],
['can_5fsidfe_5f0_5ftype_15652',['CAN_SIDFE_0_Type',['../unionCAN__SIDFE__0__Type.html',1,'']]],
['can_5ftdcr_5ftype_15653',['CAN_TDCR_Type',['../unionCAN__TDCR__Type.html',1,'']]],
['can_5ftest_5ftype_15654',['CAN_TEST_Type',['../unionCAN__TEST__Type.html',1,'']]],
['can_5ftocc_5ftype_15655',['CAN_TOCC_Type',['../unionCAN__TOCC__Type.html',1,'']]],
['can_5ftocv_5ftype_15656',['CAN_TOCV_Type',['../unionCAN__TOCV__Type.html',1,'']]],
['can_5ftscc_5ftype_15657',['CAN_TSCC_Type',['../unionCAN__TSCC__Type.html',1,'']]],
['can_5ftscv_5ftype_15658',['CAN_TSCV_Type',['../unionCAN__TSCV__Type.html',1,'']]],
['can_5ftxbar_5ftype_15659',['CAN_TXBAR_Type',['../unionCAN__TXBAR__Type.html',1,'']]],
['can_5ftxbc_5ftype_15660',['CAN_TXBC_Type',['../unionCAN__TXBC__Type.html',1,'']]],
['can_5ftxbcf_5ftype_15661',['CAN_TXBCF_Type',['../unionCAN__TXBCF__Type.html',1,'']]],
['can_5ftxbcie_5ftype_15662',['CAN_TXBCIE_Type',['../unionCAN__TXBCIE__Type.html',1,'']]],
['can_5ftxbcr_5ftype_15663',['CAN_TXBCR_Type',['../unionCAN__TXBCR__Type.html',1,'']]],
['can_5ftxbe_5f0_5ftype_15664',['CAN_TXBE_0_Type',['../unionCAN__TXBE__0__Type.html',1,'']]],
['can_5ftxbe_5f1_5ftype_15665',['CAN_TXBE_1_Type',['../unionCAN__TXBE__1__Type.html',1,'']]],
['can_5ftxbe_5fdata_5ftype_15666',['CAN_TXBE_DATA_Type',['../unionCAN__TXBE__DATA__Type.html',1,'']]],
['can_5ftxbrp_5ftype_15667',['CAN_TXBRP_Type',['../unionCAN__TXBRP__Type.html',1,'']]],
['can_5ftxbtie_5ftype_15668',['CAN_TXBTIE_Type',['../unionCAN__TXBTIE__Type.html',1,'']]],
['can_5ftxbto_5ftype_15669',['CAN_TXBTO_Type',['../unionCAN__TXBTO__Type.html',1,'']]],
['can_5ftxefa_5ftype_15670',['CAN_TXEFA_Type',['../unionCAN__TXEFA__Type.html',1,'']]],
['can_5ftxefc_5ftype_15671',['CAN_TXEFC_Type',['../unionCAN__TXEFC__Type.html',1,'']]],
['can_5ftxefe_5f0_5ftype_15672',['CAN_TXEFE_0_Type',['../unionCAN__TXEFE__0__Type.html',1,'']]],
['can_5ftxefe_5f1_5ftype_15673',['CAN_TXEFE_1_Type',['../unionCAN__TXEFE__1__Type.html',1,'']]],
['can_5ftxefs_5ftype_15674',['CAN_TXEFS_Type',['../unionCAN__TXEFS__Type.html',1,'']]],
['can_5ftxesc_5ftype_15675',['CAN_TXESC_Type',['../unionCAN__TXESC__Type.html',1,'']]],
['can_5ftxfqs_5ftype_15676',['CAN_TXFQS_Type',['../unionCAN__TXFQS__Type.html',1,'']]],
['can_5fxidam_5ftype_15677',['CAN_XIDAM_Type',['../unionCAN__XIDAM__Type.html',1,'']]],
['can_5fxidfc_5ftype_15678',['CAN_XIDFC_Type',['../unionCAN__XIDFC__Type.html',1,'']]],
['can_5fxidfe_5f0_5ftype_15679',['CAN_XIDFE_0_Type',['../unionCAN__XIDFE__0__Type.html',1,'']]],
['can_5fxidfe_5f1_5ftype_15680',['CAN_XIDFE_1_Type',['../unionCAN__XIDFE__1__Type.html',1,'']]],
['canmramrxbe_15681',['CanMramRxbe',['../structCanMramRxbe.html',1,'']]],
['canmramrxf0e_15682',['CanMramRxf0e',['../structCanMramRxf0e.html',1,'']]],
['canmramrxf1e_15683',['CanMramRxf1e',['../structCanMramRxf1e.html',1,'']]],
['canmramsidfe_15684',['CanMramSidfe',['../structCanMramSidfe.html',1,'']]],
['canmramtxbe_15685',['CanMramTxbe',['../structCanMramTxbe.html',1,'']]],
['canmramtxefe_15686',['CanMramTxefe',['../structCanMramTxefe.html',1,'']]],
['canmramxifde_15687',['CanMramXifde',['../structCanMramXifde.html',1,'']]],
['ccl_15688',['Ccl',['../structCcl.html',1,'']]],
['ccl_5fctrl_5ftype_15689',['CCL_CTRL_Type',['../unionCCL__CTRL__Type.html',1,'']]],
['ccl_5flutctrl_5ftype_15690',['CCL_LUTCTRL_Type',['../unionCCL__LUTCTRL__Type.html',1,'']]],
['ccl_5fseqctrl_5ftype_15691',['CCL_SEQCTRL_Type',['../unionCCL__SEQCTRL__Type.html',1,'']]],
['cmcc_15692',['Cmcc',['../structCmcc.html',1,'']]],
['cmcc_5fcfg_5ftype_15693',['CMCC_CFG_Type',['../unionCMCC__CFG__Type.html',1,'']]],
['cmcc_5fctrl_5ftype_15694',['CMCC_CTRL_Type',['../unionCMCC__CTRL__Type.html',1,'']]],
['cmcc_5flckway_5ftype_15695',['CMCC_LCKWAY_Type',['../unionCMCC__LCKWAY__Type.html',1,'']]],
['cmcc_5fmaint0_5ftype_15696',['CMCC_MAINT0_Type',['../unionCMCC__MAINT0__Type.html',1,'']]],
['cmcc_5fmaint1_5ftype_15697',['CMCC_MAINT1_Type',['../unionCMCC__MAINT1__Type.html',1,'']]],
['cmcc_5fmcfg_5ftype_15698',['CMCC_MCFG_Type',['../unionCMCC__MCFG__Type.html',1,'']]],
['cmcc_5fmctrl_5ftype_15699',['CMCC_MCTRL_Type',['../unionCMCC__MCTRL__Type.html',1,'']]],
['cmcc_5fmen_5ftype_15700',['CMCC_MEN_Type',['../unionCMCC__MEN__Type.html',1,'']]],
['cmcc_5fmsr_5ftype_15701',['CMCC_MSR_Type',['../unionCMCC__MSR__Type.html',1,'']]],
['cmcc_5fsr_5ftype_15702',['CMCC_SR_Type',['../unionCMCC__SR__Type.html',1,'']]],
['cmcc_5ftype_5ftype_15703',['CMCC_TYPE_Type',['../unionCMCC__TYPE__Type.html',1,'']]]
];

@ -1,74 +1,74 @@
var searchData=
[
['dac_15669',['Dac',['../structDac.html',1,'']]],
['dac_5fctrla_5ftype_15670',['DAC_CTRLA_Type',['../unionDAC__CTRLA__Type.html',1,'']]],
['dac_5fctrlb_5ftype_15671',['DAC_CTRLB_Type',['../unionDAC__CTRLB__Type.html',1,'']]],
['dac_5fdacctrl_5ftype_15672',['DAC_DACCTRL_Type',['../unionDAC__DACCTRL__Type.html',1,'']]],
['dac_5fdata_5ftype_15673',['DAC_DATA_Type',['../unionDAC__DATA__Type.html',1,'']]],
['dac_5fdatabuf_5ftype_15674',['DAC_DATABUF_Type',['../unionDAC__DATABUF__Type.html',1,'']]],
['dac_5fdbgctrl_5ftype_15675',['DAC_DBGCTRL_Type',['../unionDAC__DBGCTRL__Type.html',1,'']]],
['dac_5fevctrl_5ftype_15676',['DAC_EVCTRL_Type',['../unionDAC__EVCTRL__Type.html',1,'']]],
['dac_5fintenclr_5ftype_15677',['DAC_INTENCLR_Type',['../unionDAC__INTENCLR__Type.html',1,'']]],
['dac_5fintenset_5ftype_15678',['DAC_INTENSET_Type',['../unionDAC__INTENSET__Type.html',1,'']]],
['dac_5fintflag_5ftype_15679',['DAC_INTFLAG_Type',['../unionDAC__INTFLAG__Type.html',1,'']]],
['dac_5fresult_5ftype_15680',['DAC_RESULT_Type',['../unionDAC__RESULT__Type.html',1,'']]],
['dac_5fstatus_5ftype_15681',['DAC_STATUS_Type',['../unionDAC__STATUS__Type.html',1,'']]],
['dac_5fsyncbusy_5ftype_15682',['DAC_SYNCBUSY_Type',['../unionDAC__SYNCBUSY__Type.html',1,'']]],
['dmac_15683',['Dmac',['../structDmac.html',1,'']]],
['dmac_5factive_5ftype_15684',['DMAC_ACTIVE_Type',['../unionDMAC__ACTIVE__Type.html',1,'']]],
['dmac_5fbaseaddr_5ftype_15685',['DMAC_BASEADDR_Type',['../unionDMAC__BASEADDR__Type.html',1,'']]],
['dmac_5fbtcnt_5ftype_15686',['DMAC_BTCNT_Type',['../unionDMAC__BTCNT__Type.html',1,'']]],
['dmac_5fbtctrl_5ftype_15687',['DMAC_BTCTRL_Type',['../unionDMAC__BTCTRL__Type.html',1,'']]],
['dmac_5fbusych_5ftype_15688',['DMAC_BUSYCH_Type',['../unionDMAC__BUSYCH__Type.html',1,'']]],
['dmac_5fchctrla_5ftype_15689',['DMAC_CHCTRLA_Type',['../unionDMAC__CHCTRLA__Type.html',1,'']]],
['dmac_5fchctrlb_5ftype_15690',['DMAC_CHCTRLB_Type',['../unionDMAC__CHCTRLB__Type.html',1,'']]],
['dmac_5fchevctrl_5ftype_15691',['DMAC_CHEVCTRL_Type',['../unionDMAC__CHEVCTRL__Type.html',1,'']]],
['dmac_5fchintenclr_5ftype_15692',['DMAC_CHINTENCLR_Type',['../unionDMAC__CHINTENCLR__Type.html',1,'']]],
['dmac_5fchintenset_5ftype_15693',['DMAC_CHINTENSET_Type',['../unionDMAC__CHINTENSET__Type.html',1,'']]],
['dmac_5fchintflag_5ftype_15694',['DMAC_CHINTFLAG_Type',['../unionDMAC__CHINTFLAG__Type.html',1,'']]],
['dmac_5fchprilvl_5ftype_15695',['DMAC_CHPRILVL_Type',['../unionDMAC__CHPRILVL__Type.html',1,'']]],
['dmac_5fchstatus_5ftype_15696',['DMAC_CHSTATUS_Type',['../unionDMAC__CHSTATUS__Type.html',1,'']]],
['dmac_5fcrcchksum_5ftype_15697',['DMAC_CRCCHKSUM_Type',['../unionDMAC__CRCCHKSUM__Type.html',1,'']]],
['dmac_5fcrcctrl_5ftype_15698',['DMAC_CRCCTRL_Type',['../unionDMAC__CRCCTRL__Type.html',1,'']]],
['dmac_5fcrcdatain_5ftype_15699',['DMAC_CRCDATAIN_Type',['../unionDMAC__CRCDATAIN__Type.html',1,'']]],
['dmac_5fcrcstatus_5ftype_15700',['DMAC_CRCSTATUS_Type',['../unionDMAC__CRCSTATUS__Type.html',1,'']]],
['dmac_5fctrl_5ftype_15701',['DMAC_CTRL_Type',['../unionDMAC__CTRL__Type.html',1,'']]],
['dmac_5fdbgctrl_5ftype_15702',['DMAC_DBGCTRL_Type',['../unionDMAC__DBGCTRL__Type.html',1,'']]],
['dmac_5fdescaddr_5ftype_15703',['DMAC_DESCADDR_Type',['../unionDMAC__DESCADDR__Type.html',1,'']]],
['dmac_5fdstaddr_5ftype_15704',['DMAC_DSTADDR_Type',['../unionDMAC__DSTADDR__Type.html',1,'']]],
['dmac_5fintpend_5ftype_15705',['DMAC_INTPEND_Type',['../unionDMAC__INTPEND__Type.html',1,'']]],
['dmac_5fintstatus_5ftype_15706',['DMAC_INTSTATUS_Type',['../unionDMAC__INTSTATUS__Type.html',1,'']]],
['dmac_5fpendch_5ftype_15707',['DMAC_PENDCH_Type',['../unionDMAC__PENDCH__Type.html',1,'']]],
['dmac_5fprictrl0_5ftype_15708',['DMAC_PRICTRL0_Type',['../unionDMAC__PRICTRL0__Type.html',1,'']]],
['dmac_5fsrcaddr_5ftype_15709',['DMAC_SRCADDR_Type',['../unionDMAC__SRCADDR__Type.html',1,'']]],
['dmac_5fswtrigctrl_5ftype_15710',['DMAC_SWTRIGCTRL_Type',['../unionDMAC__SWTRIGCTRL__Type.html',1,'']]],
['dmac_5fwrbaddr_5ftype_15711',['DMAC_WRBADDR_Type',['../unionDMAC__WRBADDR__Type.html',1,'']]],
['dmacchannel_15712',['DmacChannel',['../structDmacChannel.html',1,'']]],
['dmacdescriptor_15713',['DmacDescriptor',['../structDmacDescriptor.html',1,'']]],
['dsu_15714',['Dsu',['../structDsu.html',1,'']]],
['dsu_5faddr_5ftype_15715',['DSU_ADDR_Type',['../unionDSU__ADDR__Type.html',1,'']]],
['dsu_5fcfg_5ftype_15716',['DSU_CFG_Type',['../unionDSU__CFG__Type.html',1,'']]],
['dsu_5fcid0_5ftype_15717',['DSU_CID0_Type',['../unionDSU__CID0__Type.html',1,'']]],
['dsu_5fcid1_5ftype_15718',['DSU_CID1_Type',['../unionDSU__CID1__Type.html',1,'']]],
['dsu_5fcid2_5ftype_15719',['DSU_CID2_Type',['../unionDSU__CID2__Type.html',1,'']]],
['dsu_5fcid3_5ftype_15720',['DSU_CID3_Type',['../unionDSU__CID3__Type.html',1,'']]],
['dsu_5fctrl_5ftype_15721',['DSU_CTRL_Type',['../unionDSU__CTRL__Type.html',1,'']]],
['dsu_5fdata_5ftype_15722',['DSU_DATA_Type',['../unionDSU__DATA__Type.html',1,'']]],
['dsu_5fdcc_5ftype_15723',['DSU_DCC_Type',['../unionDSU__DCC__Type.html',1,'']]],
['dsu_5fdid_5ftype_15724',['DSU_DID_Type',['../unionDSU__DID__Type.html',1,'']]],
['dsu_5fend_5ftype_15725',['DSU_END_Type',['../unionDSU__END__Type.html',1,'']]],
['dsu_5fentry0_5ftype_15726',['DSU_ENTRY0_Type',['../unionDSU__ENTRY0__Type.html',1,'']]],
['dsu_5fentry1_5ftype_15727',['DSU_ENTRY1_Type',['../unionDSU__ENTRY1__Type.html',1,'']]],
['dsu_5flength_5ftype_15728',['DSU_LENGTH_Type',['../unionDSU__LENGTH__Type.html',1,'']]],
['dsu_5fmemtype_5ftype_15729',['DSU_MEMTYPE_Type',['../unionDSU__MEMTYPE__Type.html',1,'']]],
['dsu_5fpid0_5ftype_15730',['DSU_PID0_Type',['../unionDSU__PID0__Type.html',1,'']]],
['dsu_5fpid1_5ftype_15731',['DSU_PID1_Type',['../unionDSU__PID1__Type.html',1,'']]],
['dsu_5fpid2_5ftype_15732',['DSU_PID2_Type',['../unionDSU__PID2__Type.html',1,'']]],
['dsu_5fpid3_5ftype_15733',['DSU_PID3_Type',['../unionDSU__PID3__Type.html',1,'']]],
['dsu_5fpid4_5ftype_15734',['DSU_PID4_Type',['../unionDSU__PID4__Type.html',1,'']]],
['dsu_5fpid5_5ftype_15735',['DSU_PID5_Type',['../unionDSU__PID5__Type.html',1,'']]],
['dsu_5fpid6_5ftype_15736',['DSU_PID6_Type',['../unionDSU__PID6__Type.html',1,'']]],
['dsu_5fpid7_5ftype_15737',['DSU_PID7_Type',['../unionDSU__PID7__Type.html',1,'']]],
['dsu_5fstatusa_5ftype_15738',['DSU_STATUSA_Type',['../unionDSU__STATUSA__Type.html',1,'']]],
['dsu_5fstatusb_5ftype_15739',['DSU_STATUSB_Type',['../unionDSU__STATUSB__Type.html',1,'']]]
['dac_15704',['Dac',['../structDac.html',1,'']]],
['dac_5fctrla_5ftype_15705',['DAC_CTRLA_Type',['../unionDAC__CTRLA__Type.html',1,'']]],
['dac_5fctrlb_5ftype_15706',['DAC_CTRLB_Type',['../unionDAC__CTRLB__Type.html',1,'']]],
['dac_5fdacctrl_5ftype_15707',['DAC_DACCTRL_Type',['../unionDAC__DACCTRL__Type.html',1,'']]],
['dac_5fdata_5ftype_15708',['DAC_DATA_Type',['../unionDAC__DATA__Type.html',1,'']]],
['dac_5fdatabuf_5ftype_15709',['DAC_DATABUF_Type',['../unionDAC__DATABUF__Type.html',1,'']]],
['dac_5fdbgctrl_5ftype_15710',['DAC_DBGCTRL_Type',['../unionDAC__DBGCTRL__Type.html',1,'']]],
['dac_5fevctrl_5ftype_15711',['DAC_EVCTRL_Type',['../unionDAC__EVCTRL__Type.html',1,'']]],
['dac_5fintenclr_5ftype_15712',['DAC_INTENCLR_Type',['../unionDAC__INTENCLR__Type.html',1,'']]],
['dac_5fintenset_5ftype_15713',['DAC_INTENSET_Type',['../unionDAC__INTENSET__Type.html',1,'']]],
['dac_5fintflag_5ftype_15714',['DAC_INTFLAG_Type',['../unionDAC__INTFLAG__Type.html',1,'']]],
['dac_5fresult_5ftype_15715',['DAC_RESULT_Type',['../unionDAC__RESULT__Type.html',1,'']]],
['dac_5fstatus_5ftype_15716',['DAC_STATUS_Type',['../unionDAC__STATUS__Type.html',1,'']]],
['dac_5fsyncbusy_5ftype_15717',['DAC_SYNCBUSY_Type',['../unionDAC__SYNCBUSY__Type.html',1,'']]],
['dmac_15718',['Dmac',['../structDmac.html',1,'']]],
['dmac_5factive_5ftype_15719',['DMAC_ACTIVE_Type',['../unionDMAC__ACTIVE__Type.html',1,'']]],
['dmac_5fbaseaddr_5ftype_15720',['DMAC_BASEADDR_Type',['../unionDMAC__BASEADDR__Type.html',1,'']]],
['dmac_5fbtcnt_5ftype_15721',['DMAC_BTCNT_Type',['../unionDMAC__BTCNT__Type.html',1,'']]],
['dmac_5fbtctrl_5ftype_15722',['DMAC_BTCTRL_Type',['../unionDMAC__BTCTRL__Type.html',1,'']]],
['dmac_5fbusych_5ftype_15723',['DMAC_BUSYCH_Type',['../unionDMAC__BUSYCH__Type.html',1,'']]],
['dmac_5fchctrla_5ftype_15724',['DMAC_CHCTRLA_Type',['../unionDMAC__CHCTRLA__Type.html',1,'']]],
['dmac_5fchctrlb_5ftype_15725',['DMAC_CHCTRLB_Type',['../unionDMAC__CHCTRLB__Type.html',1,'']]],
['dmac_5fchevctrl_5ftype_15726',['DMAC_CHEVCTRL_Type',['../unionDMAC__CHEVCTRL__Type.html',1,'']]],
['dmac_5fchintenclr_5ftype_15727',['DMAC_CHINTENCLR_Type',['../unionDMAC__CHINTENCLR__Type.html',1,'']]],
['dmac_5fchintenset_5ftype_15728',['DMAC_CHINTENSET_Type',['../unionDMAC__CHINTENSET__Type.html',1,'']]],
['dmac_5fchintflag_5ftype_15729',['DMAC_CHINTFLAG_Type',['../unionDMAC__CHINTFLAG__Type.html',1,'']]],
['dmac_5fchprilvl_5ftype_15730',['DMAC_CHPRILVL_Type',['../unionDMAC__CHPRILVL__Type.html',1,'']]],
['dmac_5fchstatus_5ftype_15731',['DMAC_CHSTATUS_Type',['../unionDMAC__CHSTATUS__Type.html',1,'']]],
['dmac_5fcrcchksum_5ftype_15732',['DMAC_CRCCHKSUM_Type',['../unionDMAC__CRCCHKSUM__Type.html',1,'']]],
['dmac_5fcrcctrl_5ftype_15733',['DMAC_CRCCTRL_Type',['../unionDMAC__CRCCTRL__Type.html',1,'']]],
['dmac_5fcrcdatain_5ftype_15734',['DMAC_CRCDATAIN_Type',['../unionDMAC__CRCDATAIN__Type.html',1,'']]],
['dmac_5fcrcstatus_5ftype_15735',['DMAC_CRCSTATUS_Type',['../unionDMAC__CRCSTATUS__Type.html',1,'']]],
['dmac_5fctrl_5ftype_15736',['DMAC_CTRL_Type',['../unionDMAC__CTRL__Type.html',1,'']]],
['dmac_5fdbgctrl_5ftype_15737',['DMAC_DBGCTRL_Type',['../unionDMAC__DBGCTRL__Type.html',1,'']]],
['dmac_5fdescaddr_5ftype_15738',['DMAC_DESCADDR_Type',['../unionDMAC__DESCADDR__Type.html',1,'']]],
['dmac_5fdstaddr_5ftype_15739',['DMAC_DSTADDR_Type',['../unionDMAC__DSTADDR__Type.html',1,'']]],
['dmac_5fintpend_5ftype_15740',['DMAC_INTPEND_Type',['../unionDMAC__INTPEND__Type.html',1,'']]],
['dmac_5fintstatus_5ftype_15741',['DMAC_INTSTATUS_Type',['../unionDMAC__INTSTATUS__Type.html',1,'']]],
['dmac_5fpendch_5ftype_15742',['DMAC_PENDCH_Type',['../unionDMAC__PENDCH__Type.html',1,'']]],
['dmac_5fprictrl0_5ftype_15743',['DMAC_PRICTRL0_Type',['../unionDMAC__PRICTRL0__Type.html',1,'']]],
['dmac_5fsrcaddr_5ftype_15744',['DMAC_SRCADDR_Type',['../unionDMAC__SRCADDR__Type.html',1,'']]],
['dmac_5fswtrigctrl_5ftype_15745',['DMAC_SWTRIGCTRL_Type',['../unionDMAC__SWTRIGCTRL__Type.html',1,'']]],
['dmac_5fwrbaddr_5ftype_15746',['DMAC_WRBADDR_Type',['../unionDMAC__WRBADDR__Type.html',1,'']]],
['dmacchannel_15747',['DmacChannel',['../structDmacChannel.html',1,'']]],
['dmacdescriptor_15748',['DmacDescriptor',['../structDmacDescriptor.html',1,'']]],
['dsu_15749',['Dsu',['../structDsu.html',1,'']]],
['dsu_5faddr_5ftype_15750',['DSU_ADDR_Type',['../unionDSU__ADDR__Type.html',1,'']]],
['dsu_5fcfg_5ftype_15751',['DSU_CFG_Type',['../unionDSU__CFG__Type.html',1,'']]],
['dsu_5fcid0_5ftype_15752',['DSU_CID0_Type',['../unionDSU__CID0__Type.html',1,'']]],
['dsu_5fcid1_5ftype_15753',['DSU_CID1_Type',['../unionDSU__CID1__Type.html',1,'']]],
['dsu_5fcid2_5ftype_15754',['DSU_CID2_Type',['../unionDSU__CID2__Type.html',1,'']]],
['dsu_5fcid3_5ftype_15755',['DSU_CID3_Type',['../unionDSU__CID3__Type.html',1,'']]],
['dsu_5fctrl_5ftype_15756',['DSU_CTRL_Type',['../unionDSU__CTRL__Type.html',1,'']]],
['dsu_5fdata_5ftype_15757',['DSU_DATA_Type',['../unionDSU__DATA__Type.html',1,'']]],
['dsu_5fdcc_5ftype_15758',['DSU_DCC_Type',['../unionDSU__DCC__Type.html',1,'']]],
['dsu_5fdid_5ftype_15759',['DSU_DID_Type',['../unionDSU__DID__Type.html',1,'']]],
['dsu_5fend_5ftype_15760',['DSU_END_Type',['../unionDSU__END__Type.html',1,'']]],
['dsu_5fentry0_5ftype_15761',['DSU_ENTRY0_Type',['../unionDSU__ENTRY0__Type.html',1,'']]],
['dsu_5fentry1_5ftype_15762',['DSU_ENTRY1_Type',['../unionDSU__ENTRY1__Type.html',1,'']]],
['dsu_5flength_5ftype_15763',['DSU_LENGTH_Type',['../unionDSU__LENGTH__Type.html',1,'']]],
['dsu_5fmemtype_5ftype_15764',['DSU_MEMTYPE_Type',['../unionDSU__MEMTYPE__Type.html',1,'']]],
['dsu_5fpid0_5ftype_15765',['DSU_PID0_Type',['../unionDSU__PID0__Type.html',1,'']]],
['dsu_5fpid1_5ftype_15766',['DSU_PID1_Type',['../unionDSU__PID1__Type.html',1,'']]],
['dsu_5fpid2_5ftype_15767',['DSU_PID2_Type',['../unionDSU__PID2__Type.html',1,'']]],
['dsu_5fpid3_5ftype_15768',['DSU_PID3_Type',['../unionDSU__PID3__Type.html',1,'']]],
['dsu_5fpid4_5ftype_15769',['DSU_PID4_Type',['../unionDSU__PID4__Type.html',1,'']]],
['dsu_5fpid5_5ftype_15770',['DSU_PID5_Type',['../unionDSU__PID5__Type.html',1,'']]],
['dsu_5fpid6_5ftype_15771',['DSU_PID6_Type',['../unionDSU__PID6__Type.html',1,'']]],
['dsu_5fpid7_5ftype_15772',['DSU_PID7_Type',['../unionDSU__PID7__Type.html',1,'']]],
['dsu_5fstatusa_5ftype_15773',['DSU_STATUSA_Type',['../unionDSU__STATUSA__Type.html',1,'']]],
['dsu_5fstatusb_5ftype_15774',['DSU_STATUSB_Type',['../unionDSU__STATUSB__Type.html',1,'']]]
];

@ -1,32 +1,32 @@
var searchData=
[
['eic_15740',['Eic',['../structEic.html',1,'']]],
['eic_5fasynch_5ftype_15741',['EIC_ASYNCH_Type',['../unionEIC__ASYNCH__Type.html',1,'']]],
['eic_5fconfig_5ftype_15742',['EIC_CONFIG_Type',['../unionEIC__CONFIG__Type.html',1,'']]],
['eic_5fctrla_5ftype_15743',['EIC_CTRLA_Type',['../unionEIC__CTRLA__Type.html',1,'']]],
['eic_5fdebouncen_5ftype_15744',['EIC_DEBOUNCEN_Type',['../unionEIC__DEBOUNCEN__Type.html',1,'']]],
['eic_5fdprescaler_5ftype_15745',['EIC_DPRESCALER_Type',['../unionEIC__DPRESCALER__Type.html',1,'']]],
['eic_5fevctrl_5ftype_15746',['EIC_EVCTRL_Type',['../unionEIC__EVCTRL__Type.html',1,'']]],
['eic_5fintenclr_5ftype_15747',['EIC_INTENCLR_Type',['../unionEIC__INTENCLR__Type.html',1,'']]],
['eic_5fintenset_5ftype_15748',['EIC_INTENSET_Type',['../unionEIC__INTENSET__Type.html',1,'']]],
['eic_5fintflag_5ftype_15749',['EIC_INTFLAG_Type',['../unionEIC__INTFLAG__Type.html',1,'']]],
['eic_5fnmictrl_5ftype_15750',['EIC_NMICTRL_Type',['../unionEIC__NMICTRL__Type.html',1,'']]],
['eic_5fnmiflag_5ftype_15751',['EIC_NMIFLAG_Type',['../unionEIC__NMIFLAG__Type.html',1,'']]],
['eic_5fpinstate_5ftype_15752',['EIC_PINSTATE_Type',['../unionEIC__PINSTATE__Type.html',1,'']]],
['eic_5fsyncbusy_5ftype_15753',['EIC_SYNCBUSY_Type',['../unionEIC__SYNCBUSY__Type.html',1,'']]],
['evsys_15754',['Evsys',['../structEvsys.html',1,'']]],
['evsys_5fbusych_5ftype_15755',['EVSYS_BUSYCH_Type',['../unionEVSYS__BUSYCH__Type.html',1,'']]],
['evsys_5fchannel_5ftype_15756',['EVSYS_CHANNEL_Type',['../unionEVSYS__CHANNEL__Type.html',1,'']]],
['evsys_5fchintenclr_5ftype_15757',['EVSYS_CHINTENCLR_Type',['../unionEVSYS__CHINTENCLR__Type.html',1,'']]],
['evsys_5fchintenset_5ftype_15758',['EVSYS_CHINTENSET_Type',['../unionEVSYS__CHINTENSET__Type.html',1,'']]],
['evsys_5fchintflag_5ftype_15759',['EVSYS_CHINTFLAG_Type',['../unionEVSYS__CHINTFLAG__Type.html',1,'']]],
['evsys_5fchstatus_5ftype_15760',['EVSYS_CHSTATUS_Type',['../unionEVSYS__CHSTATUS__Type.html',1,'']]],
['evsys_5fctrla_5ftype_15761',['EVSYS_CTRLA_Type',['../unionEVSYS__CTRLA__Type.html',1,'']]],
['evsys_5fintpend_5ftype_15762',['EVSYS_INTPEND_Type',['../unionEVSYS__INTPEND__Type.html',1,'']]],
['evsys_5fintstatus_5ftype_15763',['EVSYS_INTSTATUS_Type',['../unionEVSYS__INTSTATUS__Type.html',1,'']]],
['evsys_5fprictrl_5ftype_15764',['EVSYS_PRICTRL_Type',['../unionEVSYS__PRICTRL__Type.html',1,'']]],
['evsys_5freadyusr_5ftype_15765',['EVSYS_READYUSR_Type',['../unionEVSYS__READYUSR__Type.html',1,'']]],
['evsys_5fswevt_5ftype_15766',['EVSYS_SWEVT_Type',['../unionEVSYS__SWEVT__Type.html',1,'']]],
['evsys_5fuser_5ftype_15767',['EVSYS_USER_Type',['../unionEVSYS__USER__Type.html',1,'']]],
['evsyschannel_15768',['EvsysChannel',['../structEvsysChannel.html',1,'']]]
['eic_15775',['Eic',['../structEic.html',1,'']]],
['eic_5fasynch_5ftype_15776',['EIC_ASYNCH_Type',['../unionEIC__ASYNCH__Type.html',1,'']]],
['eic_5fconfig_5ftype_15777',['EIC_CONFIG_Type',['../unionEIC__CONFIG__Type.html',1,'']]],
['eic_5fctrla_5ftype_15778',['EIC_CTRLA_Type',['../unionEIC__CTRLA__Type.html',1,'']]],
['eic_5fdebouncen_5ftype_15779',['EIC_DEBOUNCEN_Type',['../unionEIC__DEBOUNCEN__Type.html',1,'']]],
['eic_5fdprescaler_5ftype_15780',['EIC_DPRESCALER_Type',['../unionEIC__DPRESCALER__Type.html',1,'']]],
['eic_5fevctrl_5ftype_15781',['EIC_EVCTRL_Type',['../unionEIC__EVCTRL__Type.html',1,'']]],
['eic_5fintenclr_5ftype_15782',['EIC_INTENCLR_Type',['../unionEIC__INTENCLR__Type.html',1,'']]],
['eic_5fintenset_5ftype_15783',['EIC_INTENSET_Type',['../unionEIC__INTENSET__Type.html',1,'']]],
['eic_5fintflag_5ftype_15784',['EIC_INTFLAG_Type',['../unionEIC__INTFLAG__Type.html',1,'']]],
['eic_5fnmictrl_5ftype_15785',['EIC_NMICTRL_Type',['../unionEIC__NMICTRL__Type.html',1,'']]],
['eic_5fnmiflag_5ftype_15786',['EIC_NMIFLAG_Type',['../unionEIC__NMIFLAG__Type.html',1,'']]],
['eic_5fpinstate_5ftype_15787',['EIC_PINSTATE_Type',['../unionEIC__PINSTATE__Type.html',1,'']]],
['eic_5fsyncbusy_5ftype_15788',['EIC_SYNCBUSY_Type',['../unionEIC__SYNCBUSY__Type.html',1,'']]],
['evsys_15789',['Evsys',['../structEvsys.html',1,'']]],
['evsys_5fbusych_5ftype_15790',['EVSYS_BUSYCH_Type',['../unionEVSYS__BUSYCH__Type.html',1,'']]],
['evsys_5fchannel_5ftype_15791',['EVSYS_CHANNEL_Type',['../unionEVSYS__CHANNEL__Type.html',1,'']]],
['evsys_5fchintenclr_5ftype_15792',['EVSYS_CHINTENCLR_Type',['../unionEVSYS__CHINTENCLR__Type.html',1,'']]],
['evsys_5fchintenset_5ftype_15793',['EVSYS_CHINTENSET_Type',['../unionEVSYS__CHINTENSET__Type.html',1,'']]],
['evsys_5fchintflag_5ftype_15794',['EVSYS_CHINTFLAG_Type',['../unionEVSYS__CHINTFLAG__Type.html',1,'']]],
['evsys_5fchstatus_5ftype_15795',['EVSYS_CHSTATUS_Type',['../unionEVSYS__CHSTATUS__Type.html',1,'']]],
['evsys_5fctrla_5ftype_15796',['EVSYS_CTRLA_Type',['../unionEVSYS__CTRLA__Type.html',1,'']]],
['evsys_5fintpend_5ftype_15797',['EVSYS_INTPEND_Type',['../unionEVSYS__INTPEND__Type.html',1,'']]],
['evsys_5fintstatus_5ftype_15798',['EVSYS_INTSTATUS_Type',['../unionEVSYS__INTSTATUS__Type.html',1,'']]],
['evsys_5fprictrl_5ftype_15799',['EVSYS_PRICTRL_Type',['../unionEVSYS__PRICTRL__Type.html',1,'']]],
['evsys_5freadyusr_5ftype_15800',['EVSYS_READYUSR_Type',['../unionEVSYS__READYUSR__Type.html',1,'']]],
['evsys_5fswevt_5ftype_15801',['EVSYS_SWEVT_Type',['../unionEVSYS__SWEVT__Type.html',1,'']]],
['evsys_5fuser_5ftype_15802',['EVSYS_USER_Type',['../unionEVSYS__USER__Type.html',1,'']]],
['evsyschannel_15803',['EvsysChannel',['../structEvsysChannel.html',1,'']]]
];

@ -1,13 +1,13 @@
var searchData=
[
['freqm_15769',['Freqm',['../structFreqm.html',1,'']]],
['freqm_5fcfga_5ftype_15770',['FREQM_CFGA_Type',['../unionFREQM__CFGA__Type.html',1,'']]],
['freqm_5fctrla_5ftype_15771',['FREQM_CTRLA_Type',['../unionFREQM__CTRLA__Type.html',1,'']]],
['freqm_5fctrlb_5ftype_15772',['FREQM_CTRLB_Type',['../unionFREQM__CTRLB__Type.html',1,'']]],
['freqm_5fintenclr_5ftype_15773',['FREQM_INTENCLR_Type',['../unionFREQM__INTENCLR__Type.html',1,'']]],
['freqm_5fintenset_5ftype_15774',['FREQM_INTENSET_Type',['../unionFREQM__INTENSET__Type.html',1,'']]],
['freqm_5fintflag_5ftype_15775',['FREQM_INTFLAG_Type',['../unionFREQM__INTFLAG__Type.html',1,'']]],
['freqm_5fstatus_5ftype_15776',['FREQM_STATUS_Type',['../unionFREQM__STATUS__Type.html',1,'']]],
['freqm_5fsyncbusy_5ftype_15777',['FREQM_SYNCBUSY_Type',['../unionFREQM__SYNCBUSY__Type.html',1,'']]],
['freqm_5fvalue_5ftype_15778',['FREQM_VALUE_Type',['../unionFREQM__VALUE__Type.html',1,'']]]
['freqm_15804',['Freqm',['../structFreqm.html',1,'']]],
['freqm_5fcfga_5ftype_15805',['FREQM_CFGA_Type',['../unionFREQM__CFGA__Type.html',1,'']]],
['freqm_5fctrla_5ftype_15806',['FREQM_CTRLA_Type',['../unionFREQM__CTRLA__Type.html',1,'']]],
['freqm_5fctrlb_5ftype_15807',['FREQM_CTRLB_Type',['../unionFREQM__CTRLB__Type.html',1,'']]],
['freqm_5fintenclr_5ftype_15808',['FREQM_INTENCLR_Type',['../unionFREQM__INTENCLR__Type.html',1,'']]],
['freqm_5fintenset_5ftype_15809',['FREQM_INTENSET_Type',['../unionFREQM__INTENSET__Type.html',1,'']]],
['freqm_5fintflag_5ftype_15810',['FREQM_INTFLAG_Type',['../unionFREQM__INTFLAG__Type.html',1,'']]],
['freqm_5fstatus_5ftype_15811',['FREQM_STATUS_Type',['../unionFREQM__STATUS__Type.html',1,'']]],
['freqm_5fsyncbusy_5ftype_15812',['FREQM_SYNCBUSY_Type',['../unionFREQM__SYNCBUSY__Type.html',1,'']]],
['freqm_5fvalue_5ftype_15813',['FREQM_VALUE_Type',['../unionFREQM__VALUE__Type.html',1,'']]]
];

@ -1,112 +1,112 @@
var searchData=
[
['gclk_15779',['Gclk',['../structGclk.html',1,'']]],
['gclk_5fctrla_5ftype_15780',['GCLK_CTRLA_Type',['../unionGCLK__CTRLA__Type.html',1,'']]],
['gclk_5fgenctrl_5ftype_15781',['GCLK_GENCTRL_Type',['../unionGCLK__GENCTRL__Type.html',1,'']]],
['gclk_5fpchctrl_5ftype_15782',['GCLK_PCHCTRL_Type',['../unionGCLK__PCHCTRL__Type.html',1,'']]],
['gclk_5fsyncbusy_5ftype_15783',['GCLK_SYNCBUSY_Type',['../unionGCLK__SYNCBUSY__Type.html',1,'']]],
['gmac_15784',['Gmac',['../structGmac.html',1,'']]],
['gmac_5fae_5ftype_15785',['GMAC_AE_Type',['../unionGMAC__AE__Type.html',1,'']]],
['gmac_5fbcfr_5ftype_15786',['GMAC_BCFR_Type',['../unionGMAC__BCFR__Type.html',1,'']]],
['gmac_5fbcft_5ftype_15787',['GMAC_BCFT_Type',['../unionGMAC__BCFT__Type.html',1,'']]],
['gmac_5fbfr64_5ftype_15788',['GMAC_BFR64_Type',['../unionGMAC__BFR64__Type.html',1,'']]],
['gmac_5fbft64_5ftype_15789',['GMAC_BFT64_Type',['../unionGMAC__BFT64__Type.html',1,'']]],
['gmac_5fcse_5ftype_15790',['GMAC_CSE_Type',['../unionGMAC__CSE__Type.html',1,'']]],
['gmac_5fdcfgr_5ftype_15791',['GMAC_DCFGR_Type',['../unionGMAC__DCFGR__Type.html',1,'']]],
['gmac_5fdtf_5ftype_15792',['GMAC_DTF_Type',['../unionGMAC__DTF__Type.html',1,'']]],
['gmac_5fec_5ftype_15793',['GMAC_EC_Type',['../unionGMAC__EC__Type.html',1,'']]],
['gmac_5fefrn_5ftype_15794',['GMAC_EFRN_Type',['../unionGMAC__EFRN__Type.html',1,'']]],
['gmac_5fefrsh_5ftype_15795',['GMAC_EFRSH_Type',['../unionGMAC__EFRSH__Type.html',1,'']]],
['gmac_5fefrsl_5ftype_15796',['GMAC_EFRSL_Type',['../unionGMAC__EFRSL__Type.html',1,'']]],
['gmac_5feftn_5ftype_15797',['GMAC_EFTN_Type',['../unionGMAC__EFTN__Type.html',1,'']]],
['gmac_5feftsh_5ftype_15798',['GMAC_EFTSH_Type',['../unionGMAC__EFTSH__Type.html',1,'']]],
['gmac_5feftsl_5ftype_15799',['GMAC_EFTSL_Type',['../unionGMAC__EFTSL__Type.html',1,'']]],
['gmac_5ffcse_5ftype_15800',['GMAC_FCSE_Type',['../unionGMAC__FCSE__Type.html',1,'']]],
['gmac_5ffr_5ftype_15801',['GMAC_FR_Type',['../unionGMAC__FR__Type.html',1,'']]],
['gmac_5fft_5ftype_15802',['GMAC_FT_Type',['../unionGMAC__FT__Type.html',1,'']]],
['gmac_5fgtbft1518_5ftype_15803',['GMAC_GTBFT1518_Type',['../unionGMAC__GTBFT1518__Type.html',1,'']]],
['gmac_5fhrb_5ftype_15804',['GMAC_HRB_Type',['../unionGMAC__HRB__Type.html',1,'']]],
['gmac_5fhrt_5ftype_15805',['GMAC_HRT_Type',['../unionGMAC__HRT__Type.html',1,'']]],
['gmac_5fidr_5ftype_15806',['GMAC_IDR_Type',['../unionGMAC__IDR__Type.html',1,'']]],
['gmac_5fier_5ftype_15807',['GMAC_IER_Type',['../unionGMAC__IER__Type.html',1,'']]],
['gmac_5fihce_5ftype_15808',['GMAC_IHCE_Type',['../unionGMAC__IHCE__Type.html',1,'']]],
['gmac_5fimr_5ftype_15809',['GMAC_IMR_Type',['../unionGMAC__IMR__Type.html',1,'']]],
['gmac_5fipgs_5ftype_15810',['GMAC_IPGS_Type',['../unionGMAC__IPGS__Type.html',1,'']]],
['gmac_5fisr_5ftype_15811',['GMAC_ISR_Type',['../unionGMAC__ISR__Type.html',1,'']]],
['gmac_5fjr_5ftype_15812',['GMAC_JR_Type',['../unionGMAC__JR__Type.html',1,'']]],
['gmac_5flc_5ftype_15813',['GMAC_LC_Type',['../unionGMAC__LC__Type.html',1,'']]],
['gmac_5flffe_5ftype_15814',['GMAC_LFFE_Type',['../unionGMAC__LFFE__Type.html',1,'']]],
['gmac_5fman_5ftype_15815',['GMAC_MAN_Type',['../unionGMAC__MAN__Type.html',1,'']]],
['gmac_5fmcf_5ftype_15816',['GMAC_MCF_Type',['../unionGMAC__MCF__Type.html',1,'']]],
['gmac_5fmfr_5ftype_15817',['GMAC_MFR_Type',['../unionGMAC__MFR__Type.html',1,'']]],
['gmac_5fmft_5ftype_15818',['GMAC_MFT_Type',['../unionGMAC__MFT__Type.html',1,'']]],
['gmac_5fncfgr_5ftype_15819',['GMAC_NCFGR_Type',['../unionGMAC__NCFGR__Type.html',1,'']]],
['gmac_5fncr_5ftype_15820',['GMAC_NCR_Type',['../unionGMAC__NCR__Type.html',1,'']]],
['gmac_5fnsc_5ftype_15821',['GMAC_NSC_Type',['../unionGMAC__NSC__Type.html',1,'']]],
['gmac_5fnsr_5ftype_15822',['GMAC_NSR_Type',['../unionGMAC__NSR__Type.html',1,'']]],
['gmac_5fofr_5ftype_15823',['GMAC_OFR_Type',['../unionGMAC__OFR__Type.html',1,'']]],
['gmac_5forhi_5ftype_15824',['GMAC_ORHI_Type',['../unionGMAC__ORHI__Type.html',1,'']]],
['gmac_5forlo_5ftype_15825',['GMAC_ORLO_Type',['../unionGMAC__ORLO__Type.html',1,'']]],
['gmac_5fothi_5ftype_15826',['GMAC_OTHI_Type',['../unionGMAC__OTHI__Type.html',1,'']]],
['gmac_5fotlo_5ftype_15827',['GMAC_OTLO_Type',['../unionGMAC__OTLO__Type.html',1,'']]],
['gmac_5fpefrn_5ftype_15828',['GMAC_PEFRN_Type',['../unionGMAC__PEFRN__Type.html',1,'']]],
['gmac_5fpefrsh_5ftype_15829',['GMAC_PEFRSH_Type',['../unionGMAC__PEFRSH__Type.html',1,'']]],
['gmac_5fpefrsl_5ftype_15830',['GMAC_PEFRSL_Type',['../unionGMAC__PEFRSL__Type.html',1,'']]],
['gmac_5fpeftn_5ftype_15831',['GMAC_PEFTN_Type',['../unionGMAC__PEFTN__Type.html',1,'']]],
['gmac_5fpeftsh_5ftype_15832',['GMAC_PEFTSH_Type',['../unionGMAC__PEFTSH__Type.html',1,'']]],
['gmac_5fpeftsl_5ftype_15833',['GMAC_PEFTSL_Type',['../unionGMAC__PEFTSL__Type.html',1,'']]],
['gmac_5fpfr_5ftype_15834',['GMAC_PFR_Type',['../unionGMAC__PFR__Type.html',1,'']]],
['gmac_5fpft_5ftype_15835',['GMAC_PFT_Type',['../unionGMAC__PFT__Type.html',1,'']]],
['gmac_5frbqb_5ftype_15836',['GMAC_RBQB_Type',['../unionGMAC__RBQB__Type.html',1,'']]],
['gmac_5frjfml_5ftype_15837',['GMAC_RJFML_Type',['../unionGMAC__RJFML__Type.html',1,'']]],
['gmac_5frlpiti_5ftype_15838',['GMAC_RLPITI_Type',['../unionGMAC__RLPITI__Type.html',1,'']]],
['gmac_5frlpitr_5ftype_15839',['GMAC_RLPITR_Type',['../unionGMAC__RLPITR__Type.html',1,'']]],
['gmac_5froe_5ftype_15840',['GMAC_ROE_Type',['../unionGMAC__ROE__Type.html',1,'']]],
['gmac_5frpq_5ftype_15841',['GMAC_RPQ_Type',['../unionGMAC__RPQ__Type.html',1,'']]],
['gmac_5frpsf_5ftype_15842',['GMAC_RPSF_Type',['../unionGMAC__RPSF__Type.html',1,'']]],
['gmac_5frre_5ftype_15843',['GMAC_RRE_Type',['../unionGMAC__RRE__Type.html',1,'']]],
['gmac_5frse_5ftype_15844',['GMAC_RSE_Type',['../unionGMAC__RSE__Type.html',1,'']]],
['gmac_5frsr_5ftype_15845',['GMAC_RSR_Type',['../unionGMAC__RSR__Type.html',1,'']]],
['gmac_5fsab_5ftype_15846',['GMAC_SAB_Type',['../unionGMAC__SAB__Type.html',1,'']]],
['gmac_5fsamb1_5ftype_15847',['GMAC_SAMB1_Type',['../unionGMAC__SAMB1__Type.html',1,'']]],
['gmac_5fsamt1_5ftype_15848',['GMAC_SAMT1_Type',['../unionGMAC__SAMT1__Type.html',1,'']]],
['gmac_5fsat_5ftype_15849',['GMAC_SAT_Type',['../unionGMAC__SAT__Type.html',1,'']]],
['gmac_5fscf_5ftype_15850',['GMAC_SCF_Type',['../unionGMAC__SCF__Type.html',1,'']]],
['gmac_5fsch_5ftype_15851',['GMAC_SCH_Type',['../unionGMAC__SCH__Type.html',1,'']]],
['gmac_5fscl_5ftype_15852',['GMAC_SCL_Type',['../unionGMAC__SCL__Type.html',1,'']]],
['gmac_5fsvlan_5ftype_15853',['GMAC_SVLAN_Type',['../unionGMAC__SVLAN__Type.html',1,'']]],
['gmac_5fta_5ftype_15854',['GMAC_TA_Type',['../unionGMAC__TA__Type.html',1,'']]],
['gmac_5ftbfr1023_5ftype_15855',['GMAC_TBFR1023_Type',['../unionGMAC__TBFR1023__Type.html',1,'']]],
['gmac_5ftbfr127_5ftype_15856',['GMAC_TBFR127_Type',['../unionGMAC__TBFR127__Type.html',1,'']]],
['gmac_5ftbfr1518_5ftype_15857',['GMAC_TBFR1518_Type',['../unionGMAC__TBFR1518__Type.html',1,'']]],
['gmac_5ftbfr255_5ftype_15858',['GMAC_TBFR255_Type',['../unionGMAC__TBFR255__Type.html',1,'']]],
['gmac_5ftbfr511_5ftype_15859',['GMAC_TBFR511_Type',['../unionGMAC__TBFR511__Type.html',1,'']]],
['gmac_5ftbft1023_5ftype_15860',['GMAC_TBFT1023_Type',['../unionGMAC__TBFT1023__Type.html',1,'']]],
['gmac_5ftbft127_5ftype_15861',['GMAC_TBFT127_Type',['../unionGMAC__TBFT127__Type.html',1,'']]],
['gmac_5ftbft1518_5ftype_15862',['GMAC_TBFT1518_Type',['../unionGMAC__TBFT1518__Type.html',1,'']]],
['gmac_5ftbft255_5ftype_15863',['GMAC_TBFT255_Type',['../unionGMAC__TBFT255__Type.html',1,'']]],
['gmac_5ftbft511_5ftype_15864',['GMAC_TBFT511_Type',['../unionGMAC__TBFT511__Type.html',1,'']]],
['gmac_5ftbqb_5ftype_15865',['GMAC_TBQB_Type',['../unionGMAC__TBQB__Type.html',1,'']]],
['gmac_5ftce_5ftype_15866',['GMAC_TCE_Type',['../unionGMAC__TCE__Type.html',1,'']]],
['gmac_5fti_5ftype_15867',['GMAC_TI_Type',['../unionGMAC__TI__Type.html',1,'']]],
['gmac_5ftidm_5ftype_15868',['GMAC_TIDM_Type',['../unionGMAC__TIDM__Type.html',1,'']]],
['gmac_5ftisubn_5ftype_15869',['GMAC_TISUBN_Type',['../unionGMAC__TISUBN__Type.html',1,'']]],
['gmac_5ftlpiti_5ftype_15870',['GMAC_TLPITI_Type',['../unionGMAC__TLPITI__Type.html',1,'']]],
['gmac_5ftlpitr_5ftype_15871',['GMAC_TLPITR_Type',['../unionGMAC__TLPITR__Type.html',1,'']]],
['gmac_5ftmxbfr_5ftype_15872',['GMAC_TMXBFR_Type',['../unionGMAC__TMXBFR__Type.html',1,'']]],
['gmac_5ftn_5ftype_15873',['GMAC_TN_Type',['../unionGMAC__TN__Type.html',1,'']]],
['gmac_5ftpfcp_5ftype_15874',['GMAC_TPFCP_Type',['../unionGMAC__TPFCP__Type.html',1,'']]],
['gmac_5ftpq_5ftype_15875',['GMAC_TPQ_Type',['../unionGMAC__TPQ__Type.html',1,'']]],
['gmac_5ftpsf_5ftype_15876',['GMAC_TPSF_Type',['../unionGMAC__TPSF__Type.html',1,'']]],
['gmac_5ftsh_5ftype_15877',['GMAC_TSH_Type',['../unionGMAC__TSH__Type.html',1,'']]],
['gmac_5ftsl_5ftype_15878',['GMAC_TSL_Type',['../unionGMAC__TSL__Type.html',1,'']]],
['gmac_5ftsr_5ftype_15879',['GMAC_TSR_Type',['../unionGMAC__TSR__Type.html',1,'']]],
['gmac_5ftssn_5ftype_15880',['GMAC_TSSN_Type',['../unionGMAC__TSSN__Type.html',1,'']]],
['gmac_5ftsssl_5ftype_15881',['GMAC_TSSSL_Type',['../unionGMAC__TSSSL__Type.html',1,'']]],
['gmac_5ftur_5ftype_15882',['GMAC_TUR_Type',['../unionGMAC__TUR__Type.html',1,'']]],
['gmac_5fuce_5ftype_15883',['GMAC_UCE_Type',['../unionGMAC__UCE__Type.html',1,'']]],
['gmac_5fufr_5ftype_15884',['GMAC_UFR_Type',['../unionGMAC__UFR__Type.html',1,'']]],
['gmac_5fur_5ftype_15885',['GMAC_UR_Type',['../unionGMAC__UR__Type.html',1,'']]],
['gmac_5fwol_5ftype_15886',['GMAC_WOL_Type',['../unionGMAC__WOL__Type.html',1,'']]],
['gmacsa_15887',['GmacSa',['../structGmacSa.html',1,'']]]
['gclk_15814',['Gclk',['../structGclk.html',1,'']]],
['gclk_5fctrla_5ftype_15815',['GCLK_CTRLA_Type',['../unionGCLK__CTRLA__Type.html',1,'']]],
['gclk_5fgenctrl_5ftype_15816',['GCLK_GENCTRL_Type',['../unionGCLK__GENCTRL__Type.html',1,'']]],
['gclk_5fpchctrl_5ftype_15817',['GCLK_PCHCTRL_Type',['../unionGCLK__PCHCTRL__Type.html',1,'']]],
['gclk_5fsyncbusy_5ftype_15818',['GCLK_SYNCBUSY_Type',['../unionGCLK__SYNCBUSY__Type.html',1,'']]],
['gmac_15819',['Gmac',['../structGmac.html',1,'']]],
['gmac_5fae_5ftype_15820',['GMAC_AE_Type',['../unionGMAC__AE__Type.html',1,'']]],
['gmac_5fbcfr_5ftype_15821',['GMAC_BCFR_Type',['../unionGMAC__BCFR__Type.html',1,'']]],
['gmac_5fbcft_5ftype_15822',['GMAC_BCFT_Type',['../unionGMAC__BCFT__Type.html',1,'']]],
['gmac_5fbfr64_5ftype_15823',['GMAC_BFR64_Type',['../unionGMAC__BFR64__Type.html',1,'']]],
['gmac_5fbft64_5ftype_15824',['GMAC_BFT64_Type',['../unionGMAC__BFT64__Type.html',1,'']]],
['gmac_5fcse_5ftype_15825',['GMAC_CSE_Type',['../unionGMAC__CSE__Type.html',1,'']]],
['gmac_5fdcfgr_5ftype_15826',['GMAC_DCFGR_Type',['../unionGMAC__DCFGR__Type.html',1,'']]],
['gmac_5fdtf_5ftype_15827',['GMAC_DTF_Type',['../unionGMAC__DTF__Type.html',1,'']]],
['gmac_5fec_5ftype_15828',['GMAC_EC_Type',['../unionGMAC__EC__Type.html',1,'']]],
['gmac_5fefrn_5ftype_15829',['GMAC_EFRN_Type',['../unionGMAC__EFRN__Type.html',1,'']]],
['gmac_5fefrsh_5ftype_15830',['GMAC_EFRSH_Type',['../unionGMAC__EFRSH__Type.html',1,'']]],
['gmac_5fefrsl_5ftype_15831',['GMAC_EFRSL_Type',['../unionGMAC__EFRSL__Type.html',1,'']]],
['gmac_5feftn_5ftype_15832',['GMAC_EFTN_Type',['../unionGMAC__EFTN__Type.html',1,'']]],
['gmac_5feftsh_5ftype_15833',['GMAC_EFTSH_Type',['../unionGMAC__EFTSH__Type.html',1,'']]],
['gmac_5feftsl_5ftype_15834',['GMAC_EFTSL_Type',['../unionGMAC__EFTSL__Type.html',1,'']]],
['gmac_5ffcse_5ftype_15835',['GMAC_FCSE_Type',['../unionGMAC__FCSE__Type.html',1,'']]],
['gmac_5ffr_5ftype_15836',['GMAC_FR_Type',['../unionGMAC__FR__Type.html',1,'']]],
['gmac_5fft_5ftype_15837',['GMAC_FT_Type',['../unionGMAC__FT__Type.html',1,'']]],
['gmac_5fgtbft1518_5ftype_15838',['GMAC_GTBFT1518_Type',['../unionGMAC__GTBFT1518__Type.html',1,'']]],
['gmac_5fhrb_5ftype_15839',['GMAC_HRB_Type',['../unionGMAC__HRB__Type.html',1,'']]],
['gmac_5fhrt_5ftype_15840',['GMAC_HRT_Type',['../unionGMAC__HRT__Type.html',1,'']]],
['gmac_5fidr_5ftype_15841',['GMAC_IDR_Type',['../unionGMAC__IDR__Type.html',1,'']]],
['gmac_5fier_5ftype_15842',['GMAC_IER_Type',['../unionGMAC__IER__Type.html',1,'']]],
['gmac_5fihce_5ftype_15843',['GMAC_IHCE_Type',['../unionGMAC__IHCE__Type.html',1,'']]],
['gmac_5fimr_5ftype_15844',['GMAC_IMR_Type',['../unionGMAC__IMR__Type.html',1,'']]],
['gmac_5fipgs_5ftype_15845',['GMAC_IPGS_Type',['../unionGMAC__IPGS__Type.html',1,'']]],
['gmac_5fisr_5ftype_15846',['GMAC_ISR_Type',['../unionGMAC__ISR__Type.html',1,'']]],
['gmac_5fjr_5ftype_15847',['GMAC_JR_Type',['../unionGMAC__JR__Type.html',1,'']]],
['gmac_5flc_5ftype_15848',['GMAC_LC_Type',['../unionGMAC__LC__Type.html',1,'']]],
['gmac_5flffe_5ftype_15849',['GMAC_LFFE_Type',['../unionGMAC__LFFE__Type.html',1,'']]],
['gmac_5fman_5ftype_15850',['GMAC_MAN_Type',['../unionGMAC__MAN__Type.html',1,'']]],
['gmac_5fmcf_5ftype_15851',['GMAC_MCF_Type',['../unionGMAC__MCF__Type.html',1,'']]],
['gmac_5fmfr_5ftype_15852',['GMAC_MFR_Type',['../unionGMAC__MFR__Type.html',1,'']]],
['gmac_5fmft_5ftype_15853',['GMAC_MFT_Type',['../unionGMAC__MFT__Type.html',1,'']]],
['gmac_5fncfgr_5ftype_15854',['GMAC_NCFGR_Type',['../unionGMAC__NCFGR__Type.html',1,'']]],
['gmac_5fncr_5ftype_15855',['GMAC_NCR_Type',['../unionGMAC__NCR__Type.html',1,'']]],
['gmac_5fnsc_5ftype_15856',['GMAC_NSC_Type',['../unionGMAC__NSC__Type.html',1,'']]],
['gmac_5fnsr_5ftype_15857',['GMAC_NSR_Type',['../unionGMAC__NSR__Type.html',1,'']]],
['gmac_5fofr_5ftype_15858',['GMAC_OFR_Type',['../unionGMAC__OFR__Type.html',1,'']]],
['gmac_5forhi_5ftype_15859',['GMAC_ORHI_Type',['../unionGMAC__ORHI__Type.html',1,'']]],
['gmac_5forlo_5ftype_15860',['GMAC_ORLO_Type',['../unionGMAC__ORLO__Type.html',1,'']]],
['gmac_5fothi_5ftype_15861',['GMAC_OTHI_Type',['../unionGMAC__OTHI__Type.html',1,'']]],
['gmac_5fotlo_5ftype_15862',['GMAC_OTLO_Type',['../unionGMAC__OTLO__Type.html',1,'']]],
['gmac_5fpefrn_5ftype_15863',['GMAC_PEFRN_Type',['../unionGMAC__PEFRN__Type.html',1,'']]],
['gmac_5fpefrsh_5ftype_15864',['GMAC_PEFRSH_Type',['../unionGMAC__PEFRSH__Type.html',1,'']]],
['gmac_5fpefrsl_5ftype_15865',['GMAC_PEFRSL_Type',['../unionGMAC__PEFRSL__Type.html',1,'']]],
['gmac_5fpeftn_5ftype_15866',['GMAC_PEFTN_Type',['../unionGMAC__PEFTN__Type.html',1,'']]],
['gmac_5fpeftsh_5ftype_15867',['GMAC_PEFTSH_Type',['../unionGMAC__PEFTSH__Type.html',1,'']]],
['gmac_5fpeftsl_5ftype_15868',['GMAC_PEFTSL_Type',['../unionGMAC__PEFTSL__Type.html',1,'']]],
['gmac_5fpfr_5ftype_15869',['GMAC_PFR_Type',['../unionGMAC__PFR__Type.html',1,'']]],
['gmac_5fpft_5ftype_15870',['GMAC_PFT_Type',['../unionGMAC__PFT__Type.html',1,'']]],
['gmac_5frbqb_5ftype_15871',['GMAC_RBQB_Type',['../unionGMAC__RBQB__Type.html',1,'']]],
['gmac_5frjfml_5ftype_15872',['GMAC_RJFML_Type',['../unionGMAC__RJFML__Type.html',1,'']]],
['gmac_5frlpiti_5ftype_15873',['GMAC_RLPITI_Type',['../unionGMAC__RLPITI__Type.html',1,'']]],
['gmac_5frlpitr_5ftype_15874',['GMAC_RLPITR_Type',['../unionGMAC__RLPITR__Type.html',1,'']]],
['gmac_5froe_5ftype_15875',['GMAC_ROE_Type',['../unionGMAC__ROE__Type.html',1,'']]],
['gmac_5frpq_5ftype_15876',['GMAC_RPQ_Type',['../unionGMAC__RPQ__Type.html',1,'']]],
['gmac_5frpsf_5ftype_15877',['GMAC_RPSF_Type',['../unionGMAC__RPSF__Type.html',1,'']]],
['gmac_5frre_5ftype_15878',['GMAC_RRE_Type',['../unionGMAC__RRE__Type.html',1,'']]],
['gmac_5frse_5ftype_15879',['GMAC_RSE_Type',['../unionGMAC__RSE__Type.html',1,'']]],
['gmac_5frsr_5ftype_15880',['GMAC_RSR_Type',['../unionGMAC__RSR__Type.html',1,'']]],
['gmac_5fsab_5ftype_15881',['GMAC_SAB_Type',['../unionGMAC__SAB__Type.html',1,'']]],
['gmac_5fsamb1_5ftype_15882',['GMAC_SAMB1_Type',['../unionGMAC__SAMB1__Type.html',1,'']]],
['gmac_5fsamt1_5ftype_15883',['GMAC_SAMT1_Type',['../unionGMAC__SAMT1__Type.html',1,'']]],
['gmac_5fsat_5ftype_15884',['GMAC_SAT_Type',['../unionGMAC__SAT__Type.html',1,'']]],
['gmac_5fscf_5ftype_15885',['GMAC_SCF_Type',['../unionGMAC__SCF__Type.html',1,'']]],
['gmac_5fsch_5ftype_15886',['GMAC_SCH_Type',['../unionGMAC__SCH__Type.html',1,'']]],
['gmac_5fscl_5ftype_15887',['GMAC_SCL_Type',['../unionGMAC__SCL__Type.html',1,'']]],
['gmac_5fsvlan_5ftype_15888',['GMAC_SVLAN_Type',['../unionGMAC__SVLAN__Type.html',1,'']]],
['gmac_5fta_5ftype_15889',['GMAC_TA_Type',['../unionGMAC__TA__Type.html',1,'']]],
['gmac_5ftbfr1023_5ftype_15890',['GMAC_TBFR1023_Type',['../unionGMAC__TBFR1023__Type.html',1,'']]],
['gmac_5ftbfr127_5ftype_15891',['GMAC_TBFR127_Type',['../unionGMAC__TBFR127__Type.html',1,'']]],
['gmac_5ftbfr1518_5ftype_15892',['GMAC_TBFR1518_Type',['../unionGMAC__TBFR1518__Type.html',1,'']]],
['gmac_5ftbfr255_5ftype_15893',['GMAC_TBFR255_Type',['../unionGMAC__TBFR255__Type.html',1,'']]],
['gmac_5ftbfr511_5ftype_15894',['GMAC_TBFR511_Type',['../unionGMAC__TBFR511__Type.html',1,'']]],
['gmac_5ftbft1023_5ftype_15895',['GMAC_TBFT1023_Type',['../unionGMAC__TBFT1023__Type.html',1,'']]],
['gmac_5ftbft127_5ftype_15896',['GMAC_TBFT127_Type',['../unionGMAC__TBFT127__Type.html',1,'']]],
['gmac_5ftbft1518_5ftype_15897',['GMAC_TBFT1518_Type',['../unionGMAC__TBFT1518__Type.html',1,'']]],
['gmac_5ftbft255_5ftype_15898',['GMAC_TBFT255_Type',['../unionGMAC__TBFT255__Type.html',1,'']]],
['gmac_5ftbft511_5ftype_15899',['GMAC_TBFT511_Type',['../unionGMAC__TBFT511__Type.html',1,'']]],
['gmac_5ftbqb_5ftype_15900',['GMAC_TBQB_Type',['../unionGMAC__TBQB__Type.html',1,'']]],
['gmac_5ftce_5ftype_15901',['GMAC_TCE_Type',['../unionGMAC__TCE__Type.html',1,'']]],
['gmac_5fti_5ftype_15902',['GMAC_TI_Type',['../unionGMAC__TI__Type.html',1,'']]],
['gmac_5ftidm_5ftype_15903',['GMAC_TIDM_Type',['../unionGMAC__TIDM__Type.html',1,'']]],
['gmac_5ftisubn_5ftype_15904',['GMAC_TISUBN_Type',['../unionGMAC__TISUBN__Type.html',1,'']]],
['gmac_5ftlpiti_5ftype_15905',['GMAC_TLPITI_Type',['../unionGMAC__TLPITI__Type.html',1,'']]],
['gmac_5ftlpitr_5ftype_15906',['GMAC_TLPITR_Type',['../unionGMAC__TLPITR__Type.html',1,'']]],
['gmac_5ftmxbfr_5ftype_15907',['GMAC_TMXBFR_Type',['../unionGMAC__TMXBFR__Type.html',1,'']]],
['gmac_5ftn_5ftype_15908',['GMAC_TN_Type',['../unionGMAC__TN__Type.html',1,'']]],
['gmac_5ftpfcp_5ftype_15909',['GMAC_TPFCP_Type',['../unionGMAC__TPFCP__Type.html',1,'']]],
['gmac_5ftpq_5ftype_15910',['GMAC_TPQ_Type',['../unionGMAC__TPQ__Type.html',1,'']]],
['gmac_5ftpsf_5ftype_15911',['GMAC_TPSF_Type',['../unionGMAC__TPSF__Type.html',1,'']]],
['gmac_5ftsh_5ftype_15912',['GMAC_TSH_Type',['../unionGMAC__TSH__Type.html',1,'']]],
['gmac_5ftsl_5ftype_15913',['GMAC_TSL_Type',['../unionGMAC__TSL__Type.html',1,'']]],
['gmac_5ftsr_5ftype_15914',['GMAC_TSR_Type',['../unionGMAC__TSR__Type.html',1,'']]],
['gmac_5ftssn_5ftype_15915',['GMAC_TSSN_Type',['../unionGMAC__TSSN__Type.html',1,'']]],
['gmac_5ftsssl_5ftype_15916',['GMAC_TSSSL_Type',['../unionGMAC__TSSSL__Type.html',1,'']]],
['gmac_5ftur_5ftype_15917',['GMAC_TUR_Type',['../unionGMAC__TUR__Type.html',1,'']]],
['gmac_5fuce_5ftype_15918',['GMAC_UCE_Type',['../unionGMAC__UCE__Type.html',1,'']]],
['gmac_5fufr_5ftype_15919',['GMAC_UFR_Type',['../unionGMAC__UFR__Type.html',1,'']]],
['gmac_5fur_5ftype_15920',['GMAC_UR_Type',['../unionGMAC__UR__Type.html',1,'']]],
['gmac_5fwol_5ftype_15921',['GMAC_WOL_Type',['../unionGMAC__WOL__Type.html',1,'']]],
['gmacsa_15922',['GmacSa',['../structGmacSa.html',1,'']]]
];

@ -1,7 +1,7 @@
var searchData=
[
['hmatrixb_15888',['Hmatrixb',['../structHmatrixb.html',1,'']]],
['hmatrixb_5fpras_5ftype_15889',['HMATRIXB_PRAS_Type',['../unionHMATRIXB__PRAS__Type.html',1,'']]],
['hmatrixb_5fprbs_5ftype_15890',['HMATRIXB_PRBS_Type',['../unionHMATRIXB__PRBS__Type.html',1,'']]],
['hmatrixbprs_15891',['HmatrixbPrs',['../structHmatrixbPrs.html',1,'']]]
['hmatrixb_15923',['Hmatrixb',['../structHmatrixb.html',1,'']]],
['hmatrixb_5fpras_5ftype_15924',['HMATRIXB_PRAS_Type',['../unionHMATRIXB__PRAS__Type.html',1,'']]],
['hmatrixb_5fprbs_5ftype_15925',['HMATRIXB_PRBS_Type',['../unionHMATRIXB__PRBS__Type.html',1,'']]],
['hmatrixbprs_15926',['HmatrixbPrs',['../structHmatrixbPrs.html',1,'']]]
];

@ -1,31 +1,31 @@
var searchData=
[
['i2s_15892',['I2s',['../structI2s.html',1,'']]],
['i2s_5fclkctrl_5ftype_15893',['I2S_CLKCTRL_Type',['../unionI2S__CLKCTRL__Type.html',1,'']]],
['i2s_5fctrla_5ftype_15894',['I2S_CTRLA_Type',['../unionI2S__CTRLA__Type.html',1,'']]],
['i2s_5fintenclr_5ftype_15895',['I2S_INTENCLR_Type',['../unionI2S__INTENCLR__Type.html',1,'']]],
['i2s_5fintenset_5ftype_15896',['I2S_INTENSET_Type',['../unionI2S__INTENSET__Type.html',1,'']]],
['i2s_5fintflag_5ftype_15897',['I2S_INTFLAG_Type',['../unionI2S__INTFLAG__Type.html',1,'']]],
['i2s_5frxctrl_5ftype_15898',['I2S_RXCTRL_Type',['../unionI2S__RXCTRL__Type.html',1,'']]],
['i2s_5frxdata_5ftype_15899',['I2S_RXDATA_Type',['../unionI2S__RXDATA__Type.html',1,'']]],
['i2s_5fsyncbusy_5ftype_15900',['I2S_SYNCBUSY_Type',['../unionI2S__SYNCBUSY__Type.html',1,'']]],
['i2s_5ftxctrl_5ftype_15901',['I2S_TXCTRL_Type',['../unionI2S__TXCTRL__Type.html',1,'']]],
['i2s_5ftxdata_5ftype_15902',['I2S_TXDATA_Type',['../unionI2S__TXDATA__Type.html',1,'']]],
['icm_15903',['Icm',['../structIcm.html',1,'']]],
['icm_5fcfg_5ftype_15904',['ICM_CFG_Type',['../unionICM__CFG__Type.html',1,'']]],
['icm_5fctrl_5ftype_15905',['ICM_CTRL_Type',['../unionICM__CTRL__Type.html',1,'']]],
['icm_5fdscr_5ftype_15906',['ICM_DSCR_Type',['../unionICM__DSCR__Type.html',1,'']]],
['icm_5fhash_5ftype_15907',['ICM_HASH_Type',['../unionICM__HASH__Type.html',1,'']]],
['icm_5fidr_5ftype_15908',['ICM_IDR_Type',['../unionICM__IDR__Type.html',1,'']]],
['icm_5fier_5ftype_15909',['ICM_IER_Type',['../unionICM__IER__Type.html',1,'']]],
['icm_5fimr_5ftype_15910',['ICM_IMR_Type',['../unionICM__IMR__Type.html',1,'']]],
['icm_5fisr_5ftype_15911',['ICM_ISR_Type',['../unionICM__ISR__Type.html',1,'']]],
['icm_5fraddr_5ftype_15912',['ICM_RADDR_Type',['../unionICM__RADDR__Type.html',1,'']]],
['icm_5frcfg_5ftype_15913',['ICM_RCFG_Type',['../unionICM__RCFG__Type.html',1,'']]],
['icm_5frctrl_5ftype_15914',['ICM_RCTRL_Type',['../unionICM__RCTRL__Type.html',1,'']]],
['icm_5frnext_5ftype_15915',['ICM_RNEXT_Type',['../unionICM__RNEXT__Type.html',1,'']]],
['icm_5fsr_5ftype_15916',['ICM_SR_Type',['../unionICM__SR__Type.html',1,'']]],
['icm_5fuasr_5ftype_15917',['ICM_UASR_Type',['../unionICM__UASR__Type.html',1,'']]],
['icm_5fuihval_5ftype_15918',['ICM_UIHVAL_Type',['../unionICM__UIHVAL__Type.html',1,'']]],
['icmdescriptor_15919',['IcmDescriptor',['../structIcmDescriptor.html',1,'']]]
['i2s_15927',['I2s',['../structI2s.html',1,'']]],
['i2s_5fclkctrl_5ftype_15928',['I2S_CLKCTRL_Type',['../unionI2S__CLKCTRL__Type.html',1,'']]],
['i2s_5fctrla_5ftype_15929',['I2S_CTRLA_Type',['../unionI2S__CTRLA__Type.html',1,'']]],
['i2s_5fintenclr_5ftype_15930',['I2S_INTENCLR_Type',['../unionI2S__INTENCLR__Type.html',1,'']]],
['i2s_5fintenset_5ftype_15931',['I2S_INTENSET_Type',['../unionI2S__INTENSET__Type.html',1,'']]],
['i2s_5fintflag_5ftype_15932',['I2S_INTFLAG_Type',['../unionI2S__INTFLAG__Type.html',1,'']]],
['i2s_5frxctrl_5ftype_15933',['I2S_RXCTRL_Type',['../unionI2S__RXCTRL__Type.html',1,'']]],
['i2s_5frxdata_5ftype_15934',['I2S_RXDATA_Type',['../unionI2S__RXDATA__Type.html',1,'']]],
['i2s_5fsyncbusy_5ftype_15935',['I2S_SYNCBUSY_Type',['../unionI2S__SYNCBUSY__Type.html',1,'']]],
['i2s_5ftxctrl_5ftype_15936',['I2S_TXCTRL_Type',['../unionI2S__TXCTRL__Type.html',1,'']]],
['i2s_5ftxdata_5ftype_15937',['I2S_TXDATA_Type',['../unionI2S__TXDATA__Type.html',1,'']]],
['icm_15938',['Icm',['../structIcm.html',1,'']]],
['icm_5fcfg_5ftype_15939',['ICM_CFG_Type',['../unionICM__CFG__Type.html',1,'']]],
['icm_5fctrl_5ftype_15940',['ICM_CTRL_Type',['../unionICM__CTRL__Type.html',1,'']]],
['icm_5fdscr_5ftype_15941',['ICM_DSCR_Type',['../unionICM__DSCR__Type.html',1,'']]],
['icm_5fhash_5ftype_15942',['ICM_HASH_Type',['../unionICM__HASH__Type.html',1,'']]],
['icm_5fidr_5ftype_15943',['ICM_IDR_Type',['../unionICM__IDR__Type.html',1,'']]],
['icm_5fier_5ftype_15944',['ICM_IER_Type',['../unionICM__IER__Type.html',1,'']]],
['icm_5fimr_5ftype_15945',['ICM_IMR_Type',['../unionICM__IMR__Type.html',1,'']]],
['icm_5fisr_5ftype_15946',['ICM_ISR_Type',['../unionICM__ISR__Type.html',1,'']]],
['icm_5fraddr_5ftype_15947',['ICM_RADDR_Type',['../unionICM__RADDR__Type.html',1,'']]],
['icm_5frcfg_5ftype_15948',['ICM_RCFG_Type',['../unionICM__RCFG__Type.html',1,'']]],
['icm_5frctrl_5ftype_15949',['ICM_RCTRL_Type',['../unionICM__RCTRL__Type.html',1,'']]],
['icm_5frnext_5ftype_15950',['ICM_RNEXT_Type',['../unionICM__RNEXT__Type.html',1,'']]],
['icm_5fsr_5ftype_15951',['ICM_SR_Type',['../unionICM__SR__Type.html',1,'']]],
['icm_5fuasr_5ftype_15952',['ICM_UASR_Type',['../unionICM__UASR__Type.html',1,'']]],
['icm_5fuihval_5ftype_15953',['ICM_UIHVAL_Type',['../unionICM__UIHVAL__Type.html',1,'']]],
['icmdescriptor_15954',['IcmDescriptor',['../structIcmDescriptor.html',1,'']]]
];

@ -1,14 +1,14 @@
var searchData=
[
['mclk_15920',['Mclk',['../structMclk.html',1,'']]],
['mclk_5fahbmask_5ftype_15921',['MCLK_AHBMASK_Type',['../unionMCLK__AHBMASK__Type.html',1,'']]],
['mclk_5fapbamask_5ftype_15922',['MCLK_APBAMASK_Type',['../unionMCLK__APBAMASK__Type.html',1,'']]],
['mclk_5fapbbmask_5ftype_15923',['MCLK_APBBMASK_Type',['../unionMCLK__APBBMASK__Type.html',1,'']]],
['mclk_5fapbcmask_5ftype_15924',['MCLK_APBCMASK_Type',['../unionMCLK__APBCMASK__Type.html',1,'']]],
['mclk_5fapbdmask_5ftype_15925',['MCLK_APBDMASK_Type',['../unionMCLK__APBDMASK__Type.html',1,'']]],
['mclk_5fcpudiv_5ftype_15926',['MCLK_CPUDIV_Type',['../unionMCLK__CPUDIV__Type.html',1,'']]],
['mclk_5fhsdiv_5ftype_15927',['MCLK_HSDIV_Type',['../unionMCLK__HSDIV__Type.html',1,'']]],
['mclk_5fintenclr_5ftype_15928',['MCLK_INTENCLR_Type',['../unionMCLK__INTENCLR__Type.html',1,'']]],
['mclk_5fintenset_5ftype_15929',['MCLK_INTENSET_Type',['../unionMCLK__INTENSET__Type.html',1,'']]],
['mclk_5fintflag_5ftype_15930',['MCLK_INTFLAG_Type',['../unionMCLK__INTFLAG__Type.html',1,'']]]
['mclk_15955',['Mclk',['../structMclk.html',1,'']]],
['mclk_5fahbmask_5ftype_15956',['MCLK_AHBMASK_Type',['../unionMCLK__AHBMASK__Type.html',1,'']]],
['mclk_5fapbamask_5ftype_15957',['MCLK_APBAMASK_Type',['../unionMCLK__APBAMASK__Type.html',1,'']]],
['mclk_5fapbbmask_5ftype_15958',['MCLK_APBBMASK_Type',['../unionMCLK__APBBMASK__Type.html',1,'']]],
['mclk_5fapbcmask_5ftype_15959',['MCLK_APBCMASK_Type',['../unionMCLK__APBCMASK__Type.html',1,'']]],
['mclk_5fapbdmask_5ftype_15960',['MCLK_APBDMASK_Type',['../unionMCLK__APBDMASK__Type.html',1,'']]],
['mclk_5fcpudiv_5ftype_15961',['MCLK_CPUDIV_Type',['../unionMCLK__CPUDIV__Type.html',1,'']]],
['mclk_5fhsdiv_5ftype_15962',['MCLK_HSDIV_Type',['../unionMCLK__HSDIV__Type.html',1,'']]],
['mclk_5fintenclr_5ftype_15963',['MCLK_INTENCLR_Type',['../unionMCLK__INTENCLR__Type.html',1,'']]],
['mclk_5fintenset_5ftype_15964',['MCLK_INTENSET_Type',['../unionMCLK__INTENSET__Type.html',1,'']]],
['mclk_5fintflag_5ftype_15965',['MCLK_INTFLAG_Type',['../unionMCLK__INTFLAG__Type.html',1,'']]]
];

@ -1,18 +1,18 @@
var searchData=
[
['nvmctrl_15931',['Nvmctrl',['../structNvmctrl.html',1,'']]],
['nvmctrl_5faddr_5ftype_15932',['NVMCTRL_ADDR_Type',['../unionNVMCTRL__ADDR__Type.html',1,'']]],
['nvmctrl_5fctrla_5ftype_15933',['NVMCTRL_CTRLA_Type',['../unionNVMCTRL__CTRLA__Type.html',1,'']]],
['nvmctrl_5fctrlb_5ftype_15934',['NVMCTRL_CTRLB_Type',['../unionNVMCTRL__CTRLB__Type.html',1,'']]],
['nvmctrl_5fdbgctrl_5ftype_15935',['NVMCTRL_DBGCTRL_Type',['../unionNVMCTRL__DBGCTRL__Type.html',1,'']]],
['nvmctrl_5feccerr_5ftype_15936',['NVMCTRL_ECCERR_Type',['../unionNVMCTRL__ECCERR__Type.html',1,'']]],
['nvmctrl_5fintenclr_5ftype_15937',['NVMCTRL_INTENCLR_Type',['../unionNVMCTRL__INTENCLR__Type.html',1,'']]],
['nvmctrl_5fintenset_5ftype_15938',['NVMCTRL_INTENSET_Type',['../unionNVMCTRL__INTENSET__Type.html',1,'']]],
['nvmctrl_5fintflag_5ftype_15939',['NVMCTRL_INTFLAG_Type',['../unionNVMCTRL__INTFLAG__Type.html',1,'']]],
['nvmctrl_5fparam_5ftype_15940',['NVMCTRL_PARAM_Type',['../unionNVMCTRL__PARAM__Type.html',1,'']]],
['nvmctrl_5fpbldata_5ftype_15941',['NVMCTRL_PBLDATA_Type',['../unionNVMCTRL__PBLDATA__Type.html',1,'']]],
['nvmctrl_5frunlock_5ftype_15942',['NVMCTRL_RUNLOCK_Type',['../unionNVMCTRL__RUNLOCK__Type.html',1,'']]],
['nvmctrl_5fseecfg_5ftype_15943',['NVMCTRL_SEECFG_Type',['../unionNVMCTRL__SEECFG__Type.html',1,'']]],
['nvmctrl_5fseestat_5ftype_15944',['NVMCTRL_SEESTAT_Type',['../unionNVMCTRL__SEESTAT__Type.html',1,'']]],
['nvmctrl_5fstatus_5ftype_15945',['NVMCTRL_STATUS_Type',['../unionNVMCTRL__STATUS__Type.html',1,'']]]
['nvmctrl_15966',['Nvmctrl',['../structNvmctrl.html',1,'']]],
['nvmctrl_5faddr_5ftype_15967',['NVMCTRL_ADDR_Type',['../unionNVMCTRL__ADDR__Type.html',1,'']]],
['nvmctrl_5fctrla_5ftype_15968',['NVMCTRL_CTRLA_Type',['../unionNVMCTRL__CTRLA__Type.html',1,'']]],
['nvmctrl_5fctrlb_5ftype_15969',['NVMCTRL_CTRLB_Type',['../unionNVMCTRL__CTRLB__Type.html',1,'']]],
['nvmctrl_5fdbgctrl_5ftype_15970',['NVMCTRL_DBGCTRL_Type',['../unionNVMCTRL__DBGCTRL__Type.html',1,'']]],
['nvmctrl_5feccerr_5ftype_15971',['NVMCTRL_ECCERR_Type',['../unionNVMCTRL__ECCERR__Type.html',1,'']]],
['nvmctrl_5fintenclr_5ftype_15972',['NVMCTRL_INTENCLR_Type',['../unionNVMCTRL__INTENCLR__Type.html',1,'']]],
['nvmctrl_5fintenset_5ftype_15973',['NVMCTRL_INTENSET_Type',['../unionNVMCTRL__INTENSET__Type.html',1,'']]],
['nvmctrl_5fintflag_5ftype_15974',['NVMCTRL_INTFLAG_Type',['../unionNVMCTRL__INTFLAG__Type.html',1,'']]],
['nvmctrl_5fparam_5ftype_15975',['NVMCTRL_PARAM_Type',['../unionNVMCTRL__PARAM__Type.html',1,'']]],
['nvmctrl_5fpbldata_5ftype_15976',['NVMCTRL_PBLDATA_Type',['../unionNVMCTRL__PBLDATA__Type.html',1,'']]],
['nvmctrl_5frunlock_5ftype_15977',['NVMCTRL_RUNLOCK_Type',['../unionNVMCTRL__RUNLOCK__Type.html',1,'']]],
['nvmctrl_5fseecfg_5ftype_15978',['NVMCTRL_SEECFG_Type',['../unionNVMCTRL__SEECFG__Type.html',1,'']]],
['nvmctrl_5fseestat_5ftype_15979',['NVMCTRL_SEESTAT_Type',['../unionNVMCTRL__SEESTAT__Type.html',1,'']]],
['nvmctrl_5fstatus_5ftype_15980',['NVMCTRL_STATUS_Type',['../unionNVMCTRL__STATUS__Type.html',1,'']]]
];

@ -1,31 +1,31 @@
var searchData=
[
['osc32kctrl_15946',['Osc32kctrl',['../structOsc32kctrl.html',1,'']]],
['osc32kctrl_5fcfdctrl_5ftype_15947',['OSC32KCTRL_CFDCTRL_Type',['../unionOSC32KCTRL__CFDCTRL__Type.html',1,'']]],
['osc32kctrl_5fevctrl_5ftype_15948',['OSC32KCTRL_EVCTRL_Type',['../unionOSC32KCTRL__EVCTRL__Type.html',1,'']]],
['osc32kctrl_5fintenclr_5ftype_15949',['OSC32KCTRL_INTENCLR_Type',['../unionOSC32KCTRL__INTENCLR__Type.html',1,'']]],
['osc32kctrl_5fintenset_5ftype_15950',['OSC32KCTRL_INTENSET_Type',['../unionOSC32KCTRL__INTENSET__Type.html',1,'']]],
['osc32kctrl_5fintflag_5ftype_15951',['OSC32KCTRL_INTFLAG_Type',['../unionOSC32KCTRL__INTFLAG__Type.html',1,'']]],
['osc32kctrl_5fosculp32k_5ftype_15952',['OSC32KCTRL_OSCULP32K_Type',['../unionOSC32KCTRL__OSCULP32K__Type.html',1,'']]],
['osc32kctrl_5frtcctrl_5ftype_15953',['OSC32KCTRL_RTCCTRL_Type',['../unionOSC32KCTRL__RTCCTRL__Type.html',1,'']]],
['osc32kctrl_5fstatus_5ftype_15954',['OSC32KCTRL_STATUS_Type',['../unionOSC32KCTRL__STATUS__Type.html',1,'']]],
['osc32kctrl_5fxosc32k_5ftype_15955',['OSC32KCTRL_XOSC32K_Type',['../unionOSC32KCTRL__XOSC32K__Type.html',1,'']]],
['oscctrl_15956',['Oscctrl',['../structOscctrl.html',1,'']]],
['oscctrl_5fdfllctrla_5ftype_15957',['OSCCTRL_DFLLCTRLA_Type',['../unionOSCCTRL__DFLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5ftype_15958',['OSCCTRL_DFLLCTRLB_Type',['../unionOSCCTRL__DFLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdfllmul_5ftype_15959',['OSCCTRL_DFLLMUL_Type',['../unionOSCCTRL__DFLLMUL__Type.html',1,'']]],
['oscctrl_5fdfllsync_5ftype_15960',['OSCCTRL_DFLLSYNC_Type',['../unionOSCCTRL__DFLLSYNC__Type.html',1,'']]],
['oscctrl_5fdfllval_5ftype_15961',['OSCCTRL_DFLLVAL_Type',['../unionOSCCTRL__DFLLVAL__Type.html',1,'']]],
['oscctrl_5fdpllctrla_5ftype_15962',['OSCCTRL_DPLLCTRLA_Type',['../unionOSCCTRL__DPLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5ftype_15963',['OSCCTRL_DPLLCTRLB_Type',['../unionOSCCTRL__DPLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdpllratio_5ftype_15964',['OSCCTRL_DPLLRATIO_Type',['../unionOSCCTRL__DPLLRATIO__Type.html',1,'']]],
['oscctrl_5fdpllstatus_5ftype_15965',['OSCCTRL_DPLLSTATUS_Type',['../unionOSCCTRL__DPLLSTATUS__Type.html',1,'']]],
['oscctrl_5fdpllsyncbusy_5ftype_15966',['OSCCTRL_DPLLSYNCBUSY_Type',['../unionOSCCTRL__DPLLSYNCBUSY__Type.html',1,'']]],
['oscctrl_5fevctrl_5ftype_15967',['OSCCTRL_EVCTRL_Type',['../unionOSCCTRL__EVCTRL__Type.html',1,'']]],
['oscctrl_5fintenclr_5ftype_15968',['OSCCTRL_INTENCLR_Type',['../unionOSCCTRL__INTENCLR__Type.html',1,'']]],
['oscctrl_5fintenset_5ftype_15969',['OSCCTRL_INTENSET_Type',['../unionOSCCTRL__INTENSET__Type.html',1,'']]],
['oscctrl_5fintflag_5ftype_15970',['OSCCTRL_INTFLAG_Type',['../unionOSCCTRL__INTFLAG__Type.html',1,'']]],
['oscctrl_5fstatus_5ftype_15971',['OSCCTRL_STATUS_Type',['../unionOSCCTRL__STATUS__Type.html',1,'']]],
['oscctrl_5fxoscctrl_5ftype_15972',['OSCCTRL_XOSCCTRL_Type',['../unionOSCCTRL__XOSCCTRL__Type.html',1,'']]],
['oscctrldpll_15973',['OscctrlDpll',['../structOscctrlDpll.html',1,'']]]
['osc32kctrl_15981',['Osc32kctrl',['../structOsc32kctrl.html',1,'']]],
['osc32kctrl_5fcfdctrl_5ftype_15982',['OSC32KCTRL_CFDCTRL_Type',['../unionOSC32KCTRL__CFDCTRL__Type.html',1,'']]],
['osc32kctrl_5fevctrl_5ftype_15983',['OSC32KCTRL_EVCTRL_Type',['../unionOSC32KCTRL__EVCTRL__Type.html',1,'']]],
['osc32kctrl_5fintenclr_5ftype_15984',['OSC32KCTRL_INTENCLR_Type',['../unionOSC32KCTRL__INTENCLR__Type.html',1,'']]],
['osc32kctrl_5fintenset_5ftype_15985',['OSC32KCTRL_INTENSET_Type',['../unionOSC32KCTRL__INTENSET__Type.html',1,'']]],
['osc32kctrl_5fintflag_5ftype_15986',['OSC32KCTRL_INTFLAG_Type',['../unionOSC32KCTRL__INTFLAG__Type.html',1,'']]],
['osc32kctrl_5fosculp32k_5ftype_15987',['OSC32KCTRL_OSCULP32K_Type',['../unionOSC32KCTRL__OSCULP32K__Type.html',1,'']]],
['osc32kctrl_5frtcctrl_5ftype_15988',['OSC32KCTRL_RTCCTRL_Type',['../unionOSC32KCTRL__RTCCTRL__Type.html',1,'']]],
['osc32kctrl_5fstatus_5ftype_15989',['OSC32KCTRL_STATUS_Type',['../unionOSC32KCTRL__STATUS__Type.html',1,'']]],
['osc32kctrl_5fxosc32k_5ftype_15990',['OSC32KCTRL_XOSC32K_Type',['../unionOSC32KCTRL__XOSC32K__Type.html',1,'']]],
['oscctrl_15991',['Oscctrl',['../structOscctrl.html',1,'']]],
['oscctrl_5fdfllctrla_5ftype_15992',['OSCCTRL_DFLLCTRLA_Type',['../unionOSCCTRL__DFLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdfllctrlb_5ftype_15993',['OSCCTRL_DFLLCTRLB_Type',['../unionOSCCTRL__DFLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdfllmul_5ftype_15994',['OSCCTRL_DFLLMUL_Type',['../unionOSCCTRL__DFLLMUL__Type.html',1,'']]],
['oscctrl_5fdfllsync_5ftype_15995',['OSCCTRL_DFLLSYNC_Type',['../unionOSCCTRL__DFLLSYNC__Type.html',1,'']]],
['oscctrl_5fdfllval_5ftype_15996',['OSCCTRL_DFLLVAL_Type',['../unionOSCCTRL__DFLLVAL__Type.html',1,'']]],
['oscctrl_5fdpllctrla_5ftype_15997',['OSCCTRL_DPLLCTRLA_Type',['../unionOSCCTRL__DPLLCTRLA__Type.html',1,'']]],
['oscctrl_5fdpllctrlb_5ftype_15998',['OSCCTRL_DPLLCTRLB_Type',['../unionOSCCTRL__DPLLCTRLB__Type.html',1,'']]],
['oscctrl_5fdpllratio_5ftype_15999',['OSCCTRL_DPLLRATIO_Type',['../unionOSCCTRL__DPLLRATIO__Type.html',1,'']]],
['oscctrl_5fdpllstatus_5ftype_16000',['OSCCTRL_DPLLSTATUS_Type',['../unionOSCCTRL__DPLLSTATUS__Type.html',1,'']]],
['oscctrl_5fdpllsyncbusy_5ftype_16001',['OSCCTRL_DPLLSYNCBUSY_Type',['../unionOSCCTRL__DPLLSYNCBUSY__Type.html',1,'']]],
['oscctrl_5fevctrl_5ftype_16002',['OSCCTRL_EVCTRL_Type',['../unionOSCCTRL__EVCTRL__Type.html',1,'']]],
['oscctrl_5fintenclr_5ftype_16003',['OSCCTRL_INTENCLR_Type',['../unionOSCCTRL__INTENCLR__Type.html',1,'']]],
['oscctrl_5fintenset_5ftype_16004',['OSCCTRL_INTENSET_Type',['../unionOSCCTRL__INTENSET__Type.html',1,'']]],
['oscctrl_5fintflag_5ftype_16005',['OSCCTRL_INTFLAG_Type',['../unionOSCCTRL__INTFLAG__Type.html',1,'']]],
['oscctrl_5fstatus_5ftype_16006',['OSCCTRL_STATUS_Type',['../unionOSCCTRL__STATUS__Type.html',1,'']]],
['oscctrl_5fxoscctrl_5ftype_16007',['OSCCTRL_XOSCCTRL_Type',['../unionOSCCTRL__XOSCCTRL__Type.html',1,'']]],
['oscctrldpll_16008',['OscctrlDpll',['../structOscctrlDpll.html',1,'']]]
];

@ -1,108 +1,108 @@
var searchData=
[
['pac_15974',['Pac',['../structPac.html',1,'']]],
['pac_5fevctrl_5ftype_15975',['PAC_EVCTRL_Type',['../unionPAC__EVCTRL__Type.html',1,'']]],
['pac_5fintenclr_5ftype_15976',['PAC_INTENCLR_Type',['../unionPAC__INTENCLR__Type.html',1,'']]],
['pac_5fintenset_5ftype_15977',['PAC_INTENSET_Type',['../unionPAC__INTENSET__Type.html',1,'']]],
['pac_5fintflaga_5ftype_15978',['PAC_INTFLAGA_Type',['../unionPAC__INTFLAGA__Type.html',1,'']]],
['pac_5fintflagahb_5ftype_15979',['PAC_INTFLAGAHB_Type',['../unionPAC__INTFLAGAHB__Type.html',1,'']]],
['pac_5fintflagb_5ftype_15980',['PAC_INTFLAGB_Type',['../unionPAC__INTFLAGB__Type.html',1,'']]],
['pac_5fintflagc_5ftype_15981',['PAC_INTFLAGC_Type',['../unionPAC__INTFLAGC__Type.html',1,'']]],
['pac_5fintflagd_5ftype_15982',['PAC_INTFLAGD_Type',['../unionPAC__INTFLAGD__Type.html',1,'']]],
['pac_5fstatusa_5ftype_15983',['PAC_STATUSA_Type',['../unionPAC__STATUSA__Type.html',1,'']]],
['pac_5fstatusb_5ftype_15984',['PAC_STATUSB_Type',['../unionPAC__STATUSB__Type.html',1,'']]],
['pac_5fstatusc_5ftype_15985',['PAC_STATUSC_Type',['../unionPAC__STATUSC__Type.html',1,'']]],
['pac_5fstatusd_5ftype_15986',['PAC_STATUSD_Type',['../unionPAC__STATUSD__Type.html',1,'']]],
['pac_5fwrctrl_5ftype_15987',['PAC_WRCTRL_Type',['../unionPAC__WRCTRL__Type.html',1,'']]],
['pcc_15988',['Pcc',['../structPcc.html',1,'']]],
['pcc_5fidr_5ftype_15989',['PCC_IDR_Type',['../unionPCC__IDR__Type.html',1,'']]],
['pcc_5fier_5ftype_15990',['PCC_IER_Type',['../unionPCC__IER__Type.html',1,'']]],
['pcc_5fimr_5ftype_15991',['PCC_IMR_Type',['../unionPCC__IMR__Type.html',1,'']]],
['pcc_5fisr_5ftype_15992',['PCC_ISR_Type',['../unionPCC__ISR__Type.html',1,'']]],
['pcc_5fmr_5ftype_15993',['PCC_MR_Type',['../unionPCC__MR__Type.html',1,'']]],
['pcc_5frhr_5ftype_15994',['PCC_RHR_Type',['../unionPCC__RHR__Type.html',1,'']]],
['pcc_5fwpmr_5ftype_15995',['PCC_WPMR_Type',['../unionPCC__WPMR__Type.html',1,'']]],
['pcc_5fwpsr_5ftype_15996',['PCC_WPSR_Type',['../unionPCC__WPSR__Type.html',1,'']]],
['pdec_15997',['Pdec',['../structPdec.html',1,'']]],
['pdec_5fcc_5ftype_15998',['PDEC_CC_Type',['../unionPDEC__CC__Type.html',1,'']]],
['pdec_5fccbuf_5ftype_15999',['PDEC_CCBUF_Type',['../unionPDEC__CCBUF__Type.html',1,'']]],
['pdec_5fcount_5ftype_16000',['PDEC_COUNT_Type',['../unionPDEC__COUNT__Type.html',1,'']]],
['pdec_5fctrla_5ftype_16001',['PDEC_CTRLA_Type',['../unionPDEC__CTRLA__Type.html',1,'']]],
['pdec_5fctrlbclr_5ftype_16002',['PDEC_CTRLBCLR_Type',['../unionPDEC__CTRLBCLR__Type.html',1,'']]],
['pdec_5fctrlbset_5ftype_16003',['PDEC_CTRLBSET_Type',['../unionPDEC__CTRLBSET__Type.html',1,'']]],
['pdec_5fdbgctrl_5ftype_16004',['PDEC_DBGCTRL_Type',['../unionPDEC__DBGCTRL__Type.html',1,'']]],
['pdec_5fevctrl_5ftype_16005',['PDEC_EVCTRL_Type',['../unionPDEC__EVCTRL__Type.html',1,'']]],
['pdec_5ffilter_5ftype_16006',['PDEC_FILTER_Type',['../unionPDEC__FILTER__Type.html',1,'']]],
['pdec_5ffilterbuf_5ftype_16007',['PDEC_FILTERBUF_Type',['../unionPDEC__FILTERBUF__Type.html',1,'']]],
['pdec_5fintenclr_5ftype_16008',['PDEC_INTENCLR_Type',['../unionPDEC__INTENCLR__Type.html',1,'']]],
['pdec_5fintenset_5ftype_16009',['PDEC_INTENSET_Type',['../unionPDEC__INTENSET__Type.html',1,'']]],
['pdec_5fintflag_5ftype_16010',['PDEC_INTFLAG_Type',['../unionPDEC__INTFLAG__Type.html',1,'']]],
['pdec_5fpresc_5ftype_16011',['PDEC_PRESC_Type',['../unionPDEC__PRESC__Type.html',1,'']]],
['pdec_5fprescbuf_5ftype_16012',['PDEC_PRESCBUF_Type',['../unionPDEC__PRESCBUF__Type.html',1,'']]],
['pdec_5fstatus_5ftype_16013',['PDEC_STATUS_Type',['../unionPDEC__STATUS__Type.html',1,'']]],
['pdec_5fsyncbusy_5ftype_16014',['PDEC_SYNCBUSY_Type',['../unionPDEC__SYNCBUSY__Type.html',1,'']]],
['picop_16015',['Picop',['../structPicop.html',1,'']]],
['picop_5fcmd_5ftype_16016',['PICOP_CMD_Type',['../unionPICOP__CMD__Type.html',1,'']]],
['picop_5fconfig_5ftype_16017',['PICOP_CONFIG_Type',['../unionPICOP__CONFIG__Type.html',1,'']]],
['picop_5fctrl_5ftype_16018',['PICOP_CTRL_Type',['../unionPICOP__CTRL__Type.html',1,'']]],
['picop_5fhf_5ftype_16019',['PICOP_HF_Type',['../unionPICOP__HF__Type.html',1,'']]],
['picop_5fhfctrl_5ftype_16020',['PICOP_HFCTRL_Type',['../unionPICOP__HFCTRL__Type.html',1,'']]],
['picop_5fhfsetclr0_5ftype_16021',['PICOP_HFSETCLR0_Type',['../unionPICOP__HFSETCLR0__Type.html',1,'']]],
['picop_5fhfsetclr1_5ftype_16022',['PICOP_HFSETCLR1_Type',['../unionPICOP__HFSETCLR1__Type.html',1,'']]],
['picop_5ficache_5ftype_16023',['PICOP_ICACHE_Type',['../unionPICOP__ICACHE__Type.html',1,'']]],
['picop_5ficachelru_5ftype_16024',['PICOP_ICACHELRU_Type',['../unionPICOP__ICACHELRU__Type.html',1,'']]],
['picop_5fid_5ftype_16025',['PICOP_ID_Type',['../unionPICOP__ID__Type.html',1,'']]],
['picop_5flink_5ftype_16026',['PICOP_LINK_Type',['../unionPICOP__LINK__Type.html',1,'']]],
['picop_5fmmu0_5ftype_16027',['PICOP_MMU0_Type',['../unionPICOP__MMU0__Type.html',1,'']]],
['picop_5fmmu1_5ftype_16028',['PICOP_MMU1_Type',['../unionPICOP__MMU1__Type.html',1,'']]],
['picop_5fmmuctrl_5ftype_16029',['PICOP_MMUCTRL_Type',['../unionPICOP__MMUCTRL__Type.html',1,'']]],
['picop_5fmmuflash_5ftype_16030',['PICOP_MMUFLASH_Type',['../unionPICOP__MMUFLASH__Type.html',1,'']]],
['picop_5focdbpgen_5ftype_16031',['PICOP_OCDBPGEN_Type',['../unionPICOP__OCDBPGEN__Type.html',1,'']]],
['picop_5focdccnt_5ftype_16032',['PICOP_OCDCCNT_Type',['../unionPICOP__OCDCCNT__Type.html',1,'']]],
['picop_5focdconfig_5ftype_16033',['PICOP_OCDCONFIG_Type',['../unionPICOP__OCDCONFIG__Type.html',1,'']]],
['picop_5focdcontrol_5ftype_16034',['PICOP_OCDCONTROL_Type',['../unionPICOP__OCDCONTROL__Type.html',1,'']]],
['picop_5focdfeat_5ftype_16035',['PICOP_OCDFEAT_Type',['../unionPICOP__OCDFEAT__Type.html',1,'']]],
['picop_5focdpc_5ftype_16036',['PICOP_OCDPC_Type',['../unionPICOP__OCDPC__Type.html',1,'']]],
['picop_5focdstatus_5ftype_16037',['PICOP_OCDSTATUS_Type',['../unionPICOP__OCDSTATUS__Type.html',1,'']]],
['picop_5fpc_5ftype_16038',['PICOP_PC_Type',['../unionPICOP__PC__Type.html',1,'']]],
['picop_5fqosctrl_5ftype_16039',['PICOP_QOSCTRL_Type',['../unionPICOP__QOSCTRL__Type.html',1,'']]],
['picop_5fr11r8_5ftype_16040',['PICOP_R11R8_Type',['../unionPICOP__R11R8__Type.html',1,'']]],
['picop_5fr15r12_5ftype_16041',['PICOP_R15R12_Type',['../unionPICOP__R15R12__Type.html',1,'']]],
['picop_5fr19r16_5ftype_16042',['PICOP_R19R16_Type',['../unionPICOP__R19R16__Type.html',1,'']]],
['picop_5fr23r20_5ftype_16043',['PICOP_R23R20_Type',['../unionPICOP__R23R20__Type.html',1,'']]],
['picop_5fr27r24_5ftype_16044',['PICOP_R27R24_Type',['../unionPICOP__R27R24__Type.html',1,'']]],
['picop_5fr31r28_5ftype_16045',['PICOP_R31R28_Type',['../unionPICOP__R31R28__Type.html',1,'']]],
['picop_5fr3r0_5ftype_16046',['PICOP_R3R0_Type',['../unionPICOP__R3R0__Type.html',1,'']]],
['picop_5fr7r4_5ftype_16047',['PICOP_R7R4_Type',['../unionPICOP__R7R4__Type.html',1,'']]],
['picop_5fs11s10_5ftype_16048',['PICOP_S11S10_Type',['../unionPICOP__S11S10__Type.html',1,'']]],
['picop_5fs1s0_5ftype_16049',['PICOP_S1S0_Type',['../unionPICOP__S1S0__Type.html',1,'']]],
['picop_5fs3s2_5ftype_16050',['PICOP_S3S2_Type',['../unionPICOP__S3S2__Type.html',1,'']]],
['picop_5fs5s4_5ftype_16051',['PICOP_S5S4_Type',['../unionPICOP__S5S4__Type.html',1,'']]],
['picop_5fsp_5ftype_16052',['PICOP_SP_Type',['../unionPICOP__SP__Type.html',1,'']]],
['pm_16053',['Pm',['../structPm.html',1,'']]],
['pm_5fbkupcfg_5ftype_16054',['PM_BKUPCFG_Type',['../unionPM__BKUPCFG__Type.html',1,'']]],
['pm_5fctrla_5ftype_16055',['PM_CTRLA_Type',['../unionPM__CTRLA__Type.html',1,'']]],
['pm_5fhibcfg_5ftype_16056',['PM_HIBCFG_Type',['../unionPM__HIBCFG__Type.html',1,'']]],
['pm_5fintenclr_5ftype_16057',['PM_INTENCLR_Type',['../unionPM__INTENCLR__Type.html',1,'']]],
['pm_5fintenset_5ftype_16058',['PM_INTENSET_Type',['../unionPM__INTENSET__Type.html',1,'']]],
['pm_5fintflag_5ftype_16059',['PM_INTFLAG_Type',['../unionPM__INTFLAG__Type.html',1,'']]],
['pm_5fpwsakdly_5ftype_16060',['PM_PWSAKDLY_Type',['../unionPM__PWSAKDLY__Type.html',1,'']]],
['pm_5fsleepcfg_5ftype_16061',['PM_SLEEPCFG_Type',['../unionPM__SLEEPCFG__Type.html',1,'']]],
['pm_5fstdbycfg_5ftype_16062',['PM_STDBYCFG_Type',['../unionPM__STDBYCFG__Type.html',1,'']]],
['port_16063',['Port',['../structPort.html',1,'']]],
['port_5fctrl_5ftype_16064',['PORT_CTRL_Type',['../unionPORT__CTRL__Type.html',1,'']]],
['port_5fdir_5ftype_16065',['PORT_DIR_Type',['../unionPORT__DIR__Type.html',1,'']]],
['port_5fdirclr_5ftype_16066',['PORT_DIRCLR_Type',['../unionPORT__DIRCLR__Type.html',1,'']]],
['port_5fdirset_5ftype_16067',['PORT_DIRSET_Type',['../unionPORT__DIRSET__Type.html',1,'']]],
['port_5fdirtgl_5ftype_16068',['PORT_DIRTGL_Type',['../unionPORT__DIRTGL__Type.html',1,'']]],
['port_5fevctrl_5ftype_16069',['PORT_EVCTRL_Type',['../unionPORT__EVCTRL__Type.html',1,'']]],
['port_5fin_5ftype_16070',['PORT_IN_Type',['../unionPORT__IN__Type.html',1,'']]],
['port_5fout_5ftype_16071',['PORT_OUT_Type',['../unionPORT__OUT__Type.html',1,'']]],
['port_5foutclr_5ftype_16072',['PORT_OUTCLR_Type',['../unionPORT__OUTCLR__Type.html',1,'']]],
['port_5foutset_5ftype_16073',['PORT_OUTSET_Type',['../unionPORT__OUTSET__Type.html',1,'']]],
['port_5fouttgl_5ftype_16074',['PORT_OUTTGL_Type',['../unionPORT__OUTTGL__Type.html',1,'']]],
['port_5fpincfg_5ftype_16075',['PORT_PINCFG_Type',['../unionPORT__PINCFG__Type.html',1,'']]],
['port_5fpmux_5ftype_16076',['PORT_PMUX_Type',['../unionPORT__PMUX__Type.html',1,'']]],
['port_5fwrconfig_5ftype_16077',['PORT_WRCONFIG_Type',['../unionPORT__WRCONFIG__Type.html',1,'']]],
['portgroup_16078',['PortGroup',['../structPortGroup.html',1,'']]]
['pac_16009',['Pac',['../structPac.html',1,'']]],
['pac_5fevctrl_5ftype_16010',['PAC_EVCTRL_Type',['../unionPAC__EVCTRL__Type.html',1,'']]],
['pac_5fintenclr_5ftype_16011',['PAC_INTENCLR_Type',['../unionPAC__INTENCLR__Type.html',1,'']]],
['pac_5fintenset_5ftype_16012',['PAC_INTENSET_Type',['../unionPAC__INTENSET__Type.html',1,'']]],
['pac_5fintflaga_5ftype_16013',['PAC_INTFLAGA_Type',['../unionPAC__INTFLAGA__Type.html',1,'']]],
['pac_5fintflagahb_5ftype_16014',['PAC_INTFLAGAHB_Type',['../unionPAC__INTFLAGAHB__Type.html',1,'']]],
['pac_5fintflagb_5ftype_16015',['PAC_INTFLAGB_Type',['../unionPAC__INTFLAGB__Type.html',1,'']]],
['pac_5fintflagc_5ftype_16016',['PAC_INTFLAGC_Type',['../unionPAC__INTFLAGC__Type.html',1,'']]],
['pac_5fintflagd_5ftype_16017',['PAC_INTFLAGD_Type',['../unionPAC__INTFLAGD__Type.html',1,'']]],
['pac_5fstatusa_5ftype_16018',['PAC_STATUSA_Type',['../unionPAC__STATUSA__Type.html',1,'']]],
['pac_5fstatusb_5ftype_16019',['PAC_STATUSB_Type',['../unionPAC__STATUSB__Type.html',1,'']]],
['pac_5fstatusc_5ftype_16020',['PAC_STATUSC_Type',['../unionPAC__STATUSC__Type.html',1,'']]],
['pac_5fstatusd_5ftype_16021',['PAC_STATUSD_Type',['../unionPAC__STATUSD__Type.html',1,'']]],
['pac_5fwrctrl_5ftype_16022',['PAC_WRCTRL_Type',['../unionPAC__WRCTRL__Type.html',1,'']]],
['pcc_16023',['Pcc',['../structPcc.html',1,'']]],
['pcc_5fidr_5ftype_16024',['PCC_IDR_Type',['../unionPCC__IDR__Type.html',1,'']]],
['pcc_5fier_5ftype_16025',['PCC_IER_Type',['../unionPCC__IER__Type.html',1,'']]],
['pcc_5fimr_5ftype_16026',['PCC_IMR_Type',['../unionPCC__IMR__Type.html',1,'']]],
['pcc_5fisr_5ftype_16027',['PCC_ISR_Type',['../unionPCC__ISR__Type.html',1,'']]],
['pcc_5fmr_5ftype_16028',['PCC_MR_Type',['../unionPCC__MR__Type.html',1,'']]],
['pcc_5frhr_5ftype_16029',['PCC_RHR_Type',['../unionPCC__RHR__Type.html',1,'']]],
['pcc_5fwpmr_5ftype_16030',['PCC_WPMR_Type',['../unionPCC__WPMR__Type.html',1,'']]],
['pcc_5fwpsr_5ftype_16031',['PCC_WPSR_Type',['../unionPCC__WPSR__Type.html',1,'']]],
['pdec_16032',['Pdec',['../structPdec.html',1,'']]],
['pdec_5fcc_5ftype_16033',['PDEC_CC_Type',['../unionPDEC__CC__Type.html',1,'']]],
['pdec_5fccbuf_5ftype_16034',['PDEC_CCBUF_Type',['../unionPDEC__CCBUF__Type.html',1,'']]],
['pdec_5fcount_5ftype_16035',['PDEC_COUNT_Type',['../unionPDEC__COUNT__Type.html',1,'']]],
['pdec_5fctrla_5ftype_16036',['PDEC_CTRLA_Type',['../unionPDEC__CTRLA__Type.html',1,'']]],
['pdec_5fctrlbclr_5ftype_16037',['PDEC_CTRLBCLR_Type',['../unionPDEC__CTRLBCLR__Type.html',1,'']]],
['pdec_5fctrlbset_5ftype_16038',['PDEC_CTRLBSET_Type',['../unionPDEC__CTRLBSET__Type.html',1,'']]],
['pdec_5fdbgctrl_5ftype_16039',['PDEC_DBGCTRL_Type',['../unionPDEC__DBGCTRL__Type.html',1,'']]],
['pdec_5fevctrl_5ftype_16040',['PDEC_EVCTRL_Type',['../unionPDEC__EVCTRL__Type.html',1,'']]],
['pdec_5ffilter_5ftype_16041',['PDEC_FILTER_Type',['../unionPDEC__FILTER__Type.html',1,'']]],
['pdec_5ffilterbuf_5ftype_16042',['PDEC_FILTERBUF_Type',['../unionPDEC__FILTERBUF__Type.html',1,'']]],
['pdec_5fintenclr_5ftype_16043',['PDEC_INTENCLR_Type',['../unionPDEC__INTENCLR__Type.html',1,'']]],
['pdec_5fintenset_5ftype_16044',['PDEC_INTENSET_Type',['../unionPDEC__INTENSET__Type.html',1,'']]],
['pdec_5fintflag_5ftype_16045',['PDEC_INTFLAG_Type',['../unionPDEC__INTFLAG__Type.html',1,'']]],
['pdec_5fpresc_5ftype_16046',['PDEC_PRESC_Type',['../unionPDEC__PRESC__Type.html',1,'']]],
['pdec_5fprescbuf_5ftype_16047',['PDEC_PRESCBUF_Type',['../unionPDEC__PRESCBUF__Type.html',1,'']]],
['pdec_5fstatus_5ftype_16048',['PDEC_STATUS_Type',['../unionPDEC__STATUS__Type.html',1,'']]],
['pdec_5fsyncbusy_5ftype_16049',['PDEC_SYNCBUSY_Type',['../unionPDEC__SYNCBUSY__Type.html',1,'']]],
['picop_16050',['Picop',['../structPicop.html',1,'']]],
['picop_5fcmd_5ftype_16051',['PICOP_CMD_Type',['../unionPICOP__CMD__Type.html',1,'']]],
['picop_5fconfig_5ftype_16052',['PICOP_CONFIG_Type',['../unionPICOP__CONFIG__Type.html',1,'']]],
['picop_5fctrl_5ftype_16053',['PICOP_CTRL_Type',['../unionPICOP__CTRL__Type.html',1,'']]],
['picop_5fhf_5ftype_16054',['PICOP_HF_Type',['../unionPICOP__HF__Type.html',1,'']]],
['picop_5fhfctrl_5ftype_16055',['PICOP_HFCTRL_Type',['../unionPICOP__HFCTRL__Type.html',1,'']]],
['picop_5fhfsetclr0_5ftype_16056',['PICOP_HFSETCLR0_Type',['../unionPICOP__HFSETCLR0__Type.html',1,'']]],
['picop_5fhfsetclr1_5ftype_16057',['PICOP_HFSETCLR1_Type',['../unionPICOP__HFSETCLR1__Type.html',1,'']]],
['picop_5ficache_5ftype_16058',['PICOP_ICACHE_Type',['../unionPICOP__ICACHE__Type.html',1,'']]],
['picop_5ficachelru_5ftype_16059',['PICOP_ICACHELRU_Type',['../unionPICOP__ICACHELRU__Type.html',1,'']]],
['picop_5fid_5ftype_16060',['PICOP_ID_Type',['../unionPICOP__ID__Type.html',1,'']]],
['picop_5flink_5ftype_16061',['PICOP_LINK_Type',['../unionPICOP__LINK__Type.html',1,'']]],
['picop_5fmmu0_5ftype_16062',['PICOP_MMU0_Type',['../unionPICOP__MMU0__Type.html',1,'']]],
['picop_5fmmu1_5ftype_16063',['PICOP_MMU1_Type',['../unionPICOP__MMU1__Type.html',1,'']]],
['picop_5fmmuctrl_5ftype_16064',['PICOP_MMUCTRL_Type',['../unionPICOP__MMUCTRL__Type.html',1,'']]],
['picop_5fmmuflash_5ftype_16065',['PICOP_MMUFLASH_Type',['../unionPICOP__MMUFLASH__Type.html',1,'']]],
['picop_5focdbpgen_5ftype_16066',['PICOP_OCDBPGEN_Type',['../unionPICOP__OCDBPGEN__Type.html',1,'']]],
['picop_5focdccnt_5ftype_16067',['PICOP_OCDCCNT_Type',['../unionPICOP__OCDCCNT__Type.html',1,'']]],
['picop_5focdconfig_5ftype_16068',['PICOP_OCDCONFIG_Type',['../unionPICOP__OCDCONFIG__Type.html',1,'']]],
['picop_5focdcontrol_5ftype_16069',['PICOP_OCDCONTROL_Type',['../unionPICOP__OCDCONTROL__Type.html',1,'']]],
['picop_5focdfeat_5ftype_16070',['PICOP_OCDFEAT_Type',['../unionPICOP__OCDFEAT__Type.html',1,'']]],
['picop_5focdpc_5ftype_16071',['PICOP_OCDPC_Type',['../unionPICOP__OCDPC__Type.html',1,'']]],
['picop_5focdstatus_5ftype_16072',['PICOP_OCDSTATUS_Type',['../unionPICOP__OCDSTATUS__Type.html',1,'']]],
['picop_5fpc_5ftype_16073',['PICOP_PC_Type',['../unionPICOP__PC__Type.html',1,'']]],
['picop_5fqosctrl_5ftype_16074',['PICOP_QOSCTRL_Type',['../unionPICOP__QOSCTRL__Type.html',1,'']]],
['picop_5fr11r8_5ftype_16075',['PICOP_R11R8_Type',['../unionPICOP__R11R8__Type.html',1,'']]],
['picop_5fr15r12_5ftype_16076',['PICOP_R15R12_Type',['../unionPICOP__R15R12__Type.html',1,'']]],
['picop_5fr19r16_5ftype_16077',['PICOP_R19R16_Type',['../unionPICOP__R19R16__Type.html',1,'']]],
['picop_5fr23r20_5ftype_16078',['PICOP_R23R20_Type',['../unionPICOP__R23R20__Type.html',1,'']]],
['picop_5fr27r24_5ftype_16079',['PICOP_R27R24_Type',['../unionPICOP__R27R24__Type.html',1,'']]],
['picop_5fr31r28_5ftype_16080',['PICOP_R31R28_Type',['../unionPICOP__R31R28__Type.html',1,'']]],
['picop_5fr3r0_5ftype_16081',['PICOP_R3R0_Type',['../unionPICOP__R3R0__Type.html',1,'']]],
['picop_5fr7r4_5ftype_16082',['PICOP_R7R4_Type',['../unionPICOP__R7R4__Type.html',1,'']]],
['picop_5fs11s10_5ftype_16083',['PICOP_S11S10_Type',['../unionPICOP__S11S10__Type.html',1,'']]],
['picop_5fs1s0_5ftype_16084',['PICOP_S1S0_Type',['../unionPICOP__S1S0__Type.html',1,'']]],
['picop_5fs3s2_5ftype_16085',['PICOP_S3S2_Type',['../unionPICOP__S3S2__Type.html',1,'']]],
['picop_5fs5s4_5ftype_16086',['PICOP_S5S4_Type',['../unionPICOP__S5S4__Type.html',1,'']]],
['picop_5fsp_5ftype_16087',['PICOP_SP_Type',['../unionPICOP__SP__Type.html',1,'']]],
['pm_16088',['Pm',['../structPm.html',1,'']]],
['pm_5fbkupcfg_5ftype_16089',['PM_BKUPCFG_Type',['../unionPM__BKUPCFG__Type.html',1,'']]],
['pm_5fctrla_5ftype_16090',['PM_CTRLA_Type',['../unionPM__CTRLA__Type.html',1,'']]],
['pm_5fhibcfg_5ftype_16091',['PM_HIBCFG_Type',['../unionPM__HIBCFG__Type.html',1,'']]],
['pm_5fintenclr_5ftype_16092',['PM_INTENCLR_Type',['../unionPM__INTENCLR__Type.html',1,'']]],
['pm_5fintenset_5ftype_16093',['PM_INTENSET_Type',['../unionPM__INTENSET__Type.html',1,'']]],
['pm_5fintflag_5ftype_16094',['PM_INTFLAG_Type',['../unionPM__INTFLAG__Type.html',1,'']]],
['pm_5fpwsakdly_5ftype_16095',['PM_PWSAKDLY_Type',['../unionPM__PWSAKDLY__Type.html',1,'']]],
['pm_5fsleepcfg_5ftype_16096',['PM_SLEEPCFG_Type',['../unionPM__SLEEPCFG__Type.html',1,'']]],
['pm_5fstdbycfg_5ftype_16097',['PM_STDBYCFG_Type',['../unionPM__STDBYCFG__Type.html',1,'']]],
['port_16098',['Port',['../structPort.html',1,'']]],
['port_5fctrl_5ftype_16099',['PORT_CTRL_Type',['../unionPORT__CTRL__Type.html',1,'']]],
['port_5fdir_5ftype_16100',['PORT_DIR_Type',['../unionPORT__DIR__Type.html',1,'']]],
['port_5fdirclr_5ftype_16101',['PORT_DIRCLR_Type',['../unionPORT__DIRCLR__Type.html',1,'']]],
['port_5fdirset_5ftype_16102',['PORT_DIRSET_Type',['../unionPORT__DIRSET__Type.html',1,'']]],
['port_5fdirtgl_5ftype_16103',['PORT_DIRTGL_Type',['../unionPORT__DIRTGL__Type.html',1,'']]],
['port_5fevctrl_5ftype_16104',['PORT_EVCTRL_Type',['../unionPORT__EVCTRL__Type.html',1,'']]],
['port_5fin_5ftype_16105',['PORT_IN_Type',['../unionPORT__IN__Type.html',1,'']]],
['port_5fout_5ftype_16106',['PORT_OUT_Type',['../unionPORT__OUT__Type.html',1,'']]],
['port_5foutclr_5ftype_16107',['PORT_OUTCLR_Type',['../unionPORT__OUTCLR__Type.html',1,'']]],
['port_5foutset_5ftype_16108',['PORT_OUTSET_Type',['../unionPORT__OUTSET__Type.html',1,'']]],
['port_5fouttgl_5ftype_16109',['PORT_OUTTGL_Type',['../unionPORT__OUTTGL__Type.html',1,'']]],
['port_5fpincfg_5ftype_16110',['PORT_PINCFG_Type',['../unionPORT__PINCFG__Type.html',1,'']]],
['port_5fpmux_5ftype_16111',['PORT_PMUX_Type',['../unionPORT__PMUX__Type.html',1,'']]],
['port_5fwrconfig_5ftype_16112',['PORT_WRCONFIG_Type',['../unionPORT__WRCONFIG__Type.html',1,'']]],
['portgroup_16113',['PortGroup',['../structPortGroup.html',1,'']]]
];

@ -1,18 +1,18 @@
var searchData=
[
['qspi_16079',['Qspi',['../structQspi.html',1,'']]],
['qspi_5fbaud_5ftype_16080',['QSPI_BAUD_Type',['../unionQSPI__BAUD__Type.html',1,'']]],
['qspi_5fctrla_5ftype_16081',['QSPI_CTRLA_Type',['../unionQSPI__CTRLA__Type.html',1,'']]],
['qspi_5fctrlb_5ftype_16082',['QSPI_CTRLB_Type',['../unionQSPI__CTRLB__Type.html',1,'']]],
['qspi_5finstraddr_5ftype_16083',['QSPI_INSTRADDR_Type',['../unionQSPI__INSTRADDR__Type.html',1,'']]],
['qspi_5finstrctrl_5ftype_16084',['QSPI_INSTRCTRL_Type',['../unionQSPI__INSTRCTRL__Type.html',1,'']]],
['qspi_5finstrframe_5ftype_16085',['QSPI_INSTRFRAME_Type',['../unionQSPI__INSTRFRAME__Type.html',1,'']]],
['qspi_5fintenclr_5ftype_16086',['QSPI_INTENCLR_Type',['../unionQSPI__INTENCLR__Type.html',1,'']]],
['qspi_5fintenset_5ftype_16087',['QSPI_INTENSET_Type',['../unionQSPI__INTENSET__Type.html',1,'']]],
['qspi_5fintflag_5ftype_16088',['QSPI_INTFLAG_Type',['../unionQSPI__INTFLAG__Type.html',1,'']]],
['qspi_5frxdata_5ftype_16089',['QSPI_RXDATA_Type',['../unionQSPI__RXDATA__Type.html',1,'']]],
['qspi_5fscrambctrl_5ftype_16090',['QSPI_SCRAMBCTRL_Type',['../unionQSPI__SCRAMBCTRL__Type.html',1,'']]],
['qspi_5fscrambkey_5ftype_16091',['QSPI_SCRAMBKEY_Type',['../unionQSPI__SCRAMBKEY__Type.html',1,'']]],
['qspi_5fstatus_5ftype_16092',['QSPI_STATUS_Type',['../unionQSPI__STATUS__Type.html',1,'']]],
['qspi_5ftxdata_5ftype_16093',['QSPI_TXDATA_Type',['../unionQSPI__TXDATA__Type.html',1,'']]]
['qspi_16114',['Qspi',['../structQspi.html',1,'']]],
['qspi_5fbaud_5ftype_16115',['QSPI_BAUD_Type',['../unionQSPI__BAUD__Type.html',1,'']]],
['qspi_5fctrla_5ftype_16116',['QSPI_CTRLA_Type',['../unionQSPI__CTRLA__Type.html',1,'']]],
['qspi_5fctrlb_5ftype_16117',['QSPI_CTRLB_Type',['../unionQSPI__CTRLB__Type.html',1,'']]],
['qspi_5finstraddr_5ftype_16118',['QSPI_INSTRADDR_Type',['../unionQSPI__INSTRADDR__Type.html',1,'']]],
['qspi_5finstrctrl_5ftype_16119',['QSPI_INSTRCTRL_Type',['../unionQSPI__INSTRCTRL__Type.html',1,'']]],
['qspi_5finstrframe_5ftype_16120',['QSPI_INSTRFRAME_Type',['../unionQSPI__INSTRFRAME__Type.html',1,'']]],
['qspi_5fintenclr_5ftype_16121',['QSPI_INTENCLR_Type',['../unionQSPI__INTENCLR__Type.html',1,'']]],
['qspi_5fintenset_5ftype_16122',['QSPI_INTENSET_Type',['../unionQSPI__INTENSET__Type.html',1,'']]],
['qspi_5fintflag_5ftype_16123',['QSPI_INTFLAG_Type',['../unionQSPI__INTFLAG__Type.html',1,'']]],
['qspi_5frxdata_5ftype_16124',['QSPI_RXDATA_Type',['../unionQSPI__RXDATA__Type.html',1,'']]],
['qspi_5fscrambctrl_5ftype_16125',['QSPI_SCRAMBCTRL_Type',['../unionQSPI__SCRAMBCTRL__Type.html',1,'']]],
['qspi_5fscrambkey_5ftype_16126',['QSPI_SCRAMBKEY_Type',['../unionQSPI__SCRAMBKEY__Type.html',1,'']]],
['qspi_5fstatus_5ftype_16127',['QSPI_STATUS_Type',['../unionQSPI__STATUS__Type.html',1,'']]],
['qspi_5ftxdata_5ftype_16128',['QSPI_TXDATA_Type',['../unionQSPI__TXDATA__Type.html',1,'']]]
];

@ -1,56 +1,56 @@
var searchData=
[
['ramecc_16094',['Ramecc',['../structRamecc.html',1,'']]],
['ramecc_5fdbgctrl_5ftype_16095',['RAMECC_DBGCTRL_Type',['../unionRAMECC__DBGCTRL__Type.html',1,'']]],
['ramecc_5ferraddr_5ftype_16096',['RAMECC_ERRADDR_Type',['../unionRAMECC__ERRADDR__Type.html',1,'']]],
['ramecc_5fintenclr_5ftype_16097',['RAMECC_INTENCLR_Type',['../unionRAMECC__INTENCLR__Type.html',1,'']]],
['ramecc_5fintenset_5ftype_16098',['RAMECC_INTENSET_Type',['../unionRAMECC__INTENSET__Type.html',1,'']]],
['ramecc_5fintflag_5ftype_16099',['RAMECC_INTFLAG_Type',['../unionRAMECC__INTFLAG__Type.html',1,'']]],
['ramecc_5fstatus_5ftype_16100',['RAMECC_STATUS_Type',['../unionRAMECC__STATUS__Type.html',1,'']]],
['rstc_16101',['Rstc',['../structRstc.html',1,'']]],
['rstc_5fbkupexit_5ftype_16102',['RSTC_BKUPEXIT_Type',['../unionRSTC__BKUPEXIT__Type.html',1,'']]],
['rstc_5frcause_5ftype_16103',['RSTC_RCAUSE_Type',['../unionRSTC__RCAUSE__Type.html',1,'']]],
['rtc_16104',['Rtc',['../unionRtc.html',1,'']]],
['rtc_5fbkup_5ftype_16105',['RTC_BKUP_Type',['../unionRTC__BKUP__Type.html',1,'']]],
['rtc_5fdbgctrl_5ftype_16106',['RTC_DBGCTRL_Type',['../unionRTC__DBGCTRL__Type.html',1,'']]],
['rtc_5ffreqcorr_5ftype_16107',['RTC_FREQCORR_Type',['../unionRTC__FREQCORR__Type.html',1,'']]],
['rtc_5fgp_5ftype_16108',['RTC_GP_Type',['../unionRTC__GP__Type.html',1,'']]],
['rtc_5fmode0_5fcomp_5ftype_16109',['RTC_MODE0_COMP_Type',['../unionRTC__MODE0__COMP__Type.html',1,'']]],
['rtc_5fmode0_5fcount_5ftype_16110',['RTC_MODE0_COUNT_Type',['../unionRTC__MODE0__COUNT__Type.html',1,'']]],
['rtc_5fmode0_5fctrla_5ftype_16111',['RTC_MODE0_CTRLA_Type',['../unionRTC__MODE0__CTRLA__Type.html',1,'']]],
['rtc_5fmode0_5fctrlb_5ftype_16112',['RTC_MODE0_CTRLB_Type',['../unionRTC__MODE0__CTRLB__Type.html',1,'']]],
['rtc_5fmode0_5fevctrl_5ftype_16113',['RTC_MODE0_EVCTRL_Type',['../unionRTC__MODE0__EVCTRL__Type.html',1,'']]],
['rtc_5fmode0_5fintenclr_5ftype_16114',['RTC_MODE0_INTENCLR_Type',['../unionRTC__MODE0__INTENCLR__Type.html',1,'']]],
['rtc_5fmode0_5fintenset_5ftype_16115',['RTC_MODE0_INTENSET_Type',['../unionRTC__MODE0__INTENSET__Type.html',1,'']]],
['rtc_5fmode0_5fintflag_5ftype_16116',['RTC_MODE0_INTFLAG_Type',['../unionRTC__MODE0__INTFLAG__Type.html',1,'']]],
['rtc_5fmode0_5fsyncbusy_5ftype_16117',['RTC_MODE0_SYNCBUSY_Type',['../unionRTC__MODE0__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode0_5ftimestamp_5ftype_16118',['RTC_MODE0_TIMESTAMP_Type',['../unionRTC__MODE0__TIMESTAMP__Type.html',1,'']]],
['rtc_5fmode1_5fcomp_5ftype_16119',['RTC_MODE1_COMP_Type',['../unionRTC__MODE1__COMP__Type.html',1,'']]],
['rtc_5fmode1_5fcount_5ftype_16120',['RTC_MODE1_COUNT_Type',['../unionRTC__MODE1__COUNT__Type.html',1,'']]],
['rtc_5fmode1_5fctrla_5ftype_16121',['RTC_MODE1_CTRLA_Type',['../unionRTC__MODE1__CTRLA__Type.html',1,'']]],
['rtc_5fmode1_5fctrlb_5ftype_16122',['RTC_MODE1_CTRLB_Type',['../unionRTC__MODE1__CTRLB__Type.html',1,'']]],
['rtc_5fmode1_5fevctrl_5ftype_16123',['RTC_MODE1_EVCTRL_Type',['../unionRTC__MODE1__EVCTRL__Type.html',1,'']]],
['rtc_5fmode1_5fintenclr_5ftype_16124',['RTC_MODE1_INTENCLR_Type',['../unionRTC__MODE1__INTENCLR__Type.html',1,'']]],
['rtc_5fmode1_5fintenset_5ftype_16125',['RTC_MODE1_INTENSET_Type',['../unionRTC__MODE1__INTENSET__Type.html',1,'']]],
['rtc_5fmode1_5fintflag_5ftype_16126',['RTC_MODE1_INTFLAG_Type',['../unionRTC__MODE1__INTFLAG__Type.html',1,'']]],
['rtc_5fmode1_5fper_5ftype_16127',['RTC_MODE1_PER_Type',['../unionRTC__MODE1__PER__Type.html',1,'']]],
['rtc_5fmode1_5fsyncbusy_5ftype_16128',['RTC_MODE1_SYNCBUSY_Type',['../unionRTC__MODE1__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode1_5ftimestamp_5ftype_16129',['RTC_MODE1_TIMESTAMP_Type',['../unionRTC__MODE1__TIMESTAMP__Type.html',1,'']]],
['rtc_5fmode2_5falarm_5ftype_16130',['RTC_MODE2_ALARM_Type',['../unionRTC__MODE2__ALARM__Type.html',1,'']]],
['rtc_5fmode2_5fclock_5ftype_16131',['RTC_MODE2_CLOCK_Type',['../unionRTC__MODE2__CLOCK__Type.html',1,'']]],
['rtc_5fmode2_5fctrla_5ftype_16132',['RTC_MODE2_CTRLA_Type',['../unionRTC__MODE2__CTRLA__Type.html',1,'']]],
['rtc_5fmode2_5fctrlb_5ftype_16133',['RTC_MODE2_CTRLB_Type',['../unionRTC__MODE2__CTRLB__Type.html',1,'']]],
['rtc_5fmode2_5fevctrl_5ftype_16134',['RTC_MODE2_EVCTRL_Type',['../unionRTC__MODE2__EVCTRL__Type.html',1,'']]],
['rtc_5fmode2_5fintenclr_5ftype_16135',['RTC_MODE2_INTENCLR_Type',['../unionRTC__MODE2__INTENCLR__Type.html',1,'']]],
['rtc_5fmode2_5fintenset_5ftype_16136',['RTC_MODE2_INTENSET_Type',['../unionRTC__MODE2__INTENSET__Type.html',1,'']]],
['rtc_5fmode2_5fintflag_5ftype_16137',['RTC_MODE2_INTFLAG_Type',['../unionRTC__MODE2__INTFLAG__Type.html',1,'']]],
['rtc_5fmode2_5fmask_5ftype_16138',['RTC_MODE2_MASK_Type',['../unionRTC__MODE2__MASK__Type.html',1,'']]],
['rtc_5fmode2_5fsyncbusy_5ftype_16139',['RTC_MODE2_SYNCBUSY_Type',['../unionRTC__MODE2__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode2_5ftimestamp_5ftype_16140',['RTC_MODE2_TIMESTAMP_Type',['../unionRTC__MODE2__TIMESTAMP__Type.html',1,'']]],
['rtc_5ftampctrl_5ftype_16141',['RTC_TAMPCTRL_Type',['../unionRTC__TAMPCTRL__Type.html',1,'']]],
['rtc_5ftampid_5ftype_16142',['RTC_TAMPID_Type',['../unionRTC__TAMPID__Type.html',1,'']]],
['rtcmode0_16143',['RtcMode0',['../structRtcMode0.html',1,'']]],
['rtcmode1_16144',['RtcMode1',['../structRtcMode1.html',1,'']]],
['rtcmode2_16145',['RtcMode2',['../structRtcMode2.html',1,'']]],
['rtcmode2alarm_16146',['RtcMode2Alarm',['../structRtcMode2Alarm.html',1,'']]]
['ramecc_16129',['Ramecc',['../structRamecc.html',1,'']]],
['ramecc_5fdbgctrl_5ftype_16130',['RAMECC_DBGCTRL_Type',['../unionRAMECC__DBGCTRL__Type.html',1,'']]],
['ramecc_5ferraddr_5ftype_16131',['RAMECC_ERRADDR_Type',['../unionRAMECC__ERRADDR__Type.html',1,'']]],
['ramecc_5fintenclr_5ftype_16132',['RAMECC_INTENCLR_Type',['../unionRAMECC__INTENCLR__Type.html',1,'']]],
['ramecc_5fintenset_5ftype_16133',['RAMECC_INTENSET_Type',['../unionRAMECC__INTENSET__Type.html',1,'']]],
['ramecc_5fintflag_5ftype_16134',['RAMECC_INTFLAG_Type',['../unionRAMECC__INTFLAG__Type.html',1,'']]],
['ramecc_5fstatus_5ftype_16135',['RAMECC_STATUS_Type',['../unionRAMECC__STATUS__Type.html',1,'']]],
['rstc_16136',['Rstc',['../structRstc.html',1,'']]],
['rstc_5fbkupexit_5ftype_16137',['RSTC_BKUPEXIT_Type',['../unionRSTC__BKUPEXIT__Type.html',1,'']]],
['rstc_5frcause_5ftype_16138',['RSTC_RCAUSE_Type',['../unionRSTC__RCAUSE__Type.html',1,'']]],
['rtc_16139',['Rtc',['../unionRtc.html',1,'']]],
['rtc_5fbkup_5ftype_16140',['RTC_BKUP_Type',['../unionRTC__BKUP__Type.html',1,'']]],
['rtc_5fdbgctrl_5ftype_16141',['RTC_DBGCTRL_Type',['../unionRTC__DBGCTRL__Type.html',1,'']]],
['rtc_5ffreqcorr_5ftype_16142',['RTC_FREQCORR_Type',['../unionRTC__FREQCORR__Type.html',1,'']]],
['rtc_5fgp_5ftype_16143',['RTC_GP_Type',['../unionRTC__GP__Type.html',1,'']]],
['rtc_5fmode0_5fcomp_5ftype_16144',['RTC_MODE0_COMP_Type',['../unionRTC__MODE0__COMP__Type.html',1,'']]],
['rtc_5fmode0_5fcount_5ftype_16145',['RTC_MODE0_COUNT_Type',['../unionRTC__MODE0__COUNT__Type.html',1,'']]],
['rtc_5fmode0_5fctrla_5ftype_16146',['RTC_MODE0_CTRLA_Type',['../unionRTC__MODE0__CTRLA__Type.html',1,'']]],
['rtc_5fmode0_5fctrlb_5ftype_16147',['RTC_MODE0_CTRLB_Type',['../unionRTC__MODE0__CTRLB__Type.html',1,'']]],
['rtc_5fmode0_5fevctrl_5ftype_16148',['RTC_MODE0_EVCTRL_Type',['../unionRTC__MODE0__EVCTRL__Type.html',1,'']]],
['rtc_5fmode0_5fintenclr_5ftype_16149',['RTC_MODE0_INTENCLR_Type',['../unionRTC__MODE0__INTENCLR__Type.html',1,'']]],
['rtc_5fmode0_5fintenset_5ftype_16150',['RTC_MODE0_INTENSET_Type',['../unionRTC__MODE0__INTENSET__Type.html',1,'']]],
['rtc_5fmode0_5fintflag_5ftype_16151',['RTC_MODE0_INTFLAG_Type',['../unionRTC__MODE0__INTFLAG__Type.html',1,'']]],
['rtc_5fmode0_5fsyncbusy_5ftype_16152',['RTC_MODE0_SYNCBUSY_Type',['../unionRTC__MODE0__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode0_5ftimestamp_5ftype_16153',['RTC_MODE0_TIMESTAMP_Type',['../unionRTC__MODE0__TIMESTAMP__Type.html',1,'']]],
['rtc_5fmode1_5fcomp_5ftype_16154',['RTC_MODE1_COMP_Type',['../unionRTC__MODE1__COMP__Type.html',1,'']]],
['rtc_5fmode1_5fcount_5ftype_16155',['RTC_MODE1_COUNT_Type',['../unionRTC__MODE1__COUNT__Type.html',1,'']]],
['rtc_5fmode1_5fctrla_5ftype_16156',['RTC_MODE1_CTRLA_Type',['../unionRTC__MODE1__CTRLA__Type.html',1,'']]],
['rtc_5fmode1_5fctrlb_5ftype_16157',['RTC_MODE1_CTRLB_Type',['../unionRTC__MODE1__CTRLB__Type.html',1,'']]],
['rtc_5fmode1_5fevctrl_5ftype_16158',['RTC_MODE1_EVCTRL_Type',['../unionRTC__MODE1__EVCTRL__Type.html',1,'']]],
['rtc_5fmode1_5fintenclr_5ftype_16159',['RTC_MODE1_INTENCLR_Type',['../unionRTC__MODE1__INTENCLR__Type.html',1,'']]],
['rtc_5fmode1_5fintenset_5ftype_16160',['RTC_MODE1_INTENSET_Type',['../unionRTC__MODE1__INTENSET__Type.html',1,'']]],
['rtc_5fmode1_5fintflag_5ftype_16161',['RTC_MODE1_INTFLAG_Type',['../unionRTC__MODE1__INTFLAG__Type.html',1,'']]],
['rtc_5fmode1_5fper_5ftype_16162',['RTC_MODE1_PER_Type',['../unionRTC__MODE1__PER__Type.html',1,'']]],
['rtc_5fmode1_5fsyncbusy_5ftype_16163',['RTC_MODE1_SYNCBUSY_Type',['../unionRTC__MODE1__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode1_5ftimestamp_5ftype_16164',['RTC_MODE1_TIMESTAMP_Type',['../unionRTC__MODE1__TIMESTAMP__Type.html',1,'']]],
['rtc_5fmode2_5falarm_5ftype_16165',['RTC_MODE2_ALARM_Type',['../unionRTC__MODE2__ALARM__Type.html',1,'']]],
['rtc_5fmode2_5fclock_5ftype_16166',['RTC_MODE2_CLOCK_Type',['../unionRTC__MODE2__CLOCK__Type.html',1,'']]],
['rtc_5fmode2_5fctrla_5ftype_16167',['RTC_MODE2_CTRLA_Type',['../unionRTC__MODE2__CTRLA__Type.html',1,'']]],
['rtc_5fmode2_5fctrlb_5ftype_16168',['RTC_MODE2_CTRLB_Type',['../unionRTC__MODE2__CTRLB__Type.html',1,'']]],
['rtc_5fmode2_5fevctrl_5ftype_16169',['RTC_MODE2_EVCTRL_Type',['../unionRTC__MODE2__EVCTRL__Type.html',1,'']]],
['rtc_5fmode2_5fintenclr_5ftype_16170',['RTC_MODE2_INTENCLR_Type',['../unionRTC__MODE2__INTENCLR__Type.html',1,'']]],
['rtc_5fmode2_5fintenset_5ftype_16171',['RTC_MODE2_INTENSET_Type',['../unionRTC__MODE2__INTENSET__Type.html',1,'']]],
['rtc_5fmode2_5fintflag_5ftype_16172',['RTC_MODE2_INTFLAG_Type',['../unionRTC__MODE2__INTFLAG__Type.html',1,'']]],
['rtc_5fmode2_5fmask_5ftype_16173',['RTC_MODE2_MASK_Type',['../unionRTC__MODE2__MASK__Type.html',1,'']]],
['rtc_5fmode2_5fsyncbusy_5ftype_16174',['RTC_MODE2_SYNCBUSY_Type',['../unionRTC__MODE2__SYNCBUSY__Type.html',1,'']]],
['rtc_5fmode2_5ftimestamp_5ftype_16175',['RTC_MODE2_TIMESTAMP_Type',['../unionRTC__MODE2__TIMESTAMP__Type.html',1,'']]],
['rtc_5ftampctrl_5ftype_16176',['RTC_TAMPCTRL_Type',['../unionRTC__TAMPCTRL__Type.html',1,'']]],
['rtc_5ftampid_5ftype_16177',['RTC_TAMPID_Type',['../unionRTC__TAMPID__Type.html',1,'']]],
['rtcmode0_16178',['RtcMode0',['../structRtcMode0.html',1,'']]],
['rtcmode1_16179',['RtcMode1',['../structRtcMode1.html',1,'']]],
['rtcmode2_16180',['RtcMode2',['../structRtcMode2.html',1,'']]],
['rtcmode2alarm_16181',['RtcMode2Alarm',['../structRtcMode2Alarm.html',1,'']]]
];

@ -1,110 +1,110 @@
var searchData=
[
['sdhc_16147',['Sdhc',['../structSdhc.html',1,'']]],
['sdhc_5facesr_5ftype_16148',['SDHC_ACESR_Type',['../unionSDHC__ACESR__Type.html',1,'']]],
['sdhc_5facr_5ftype_16149',['SDHC_ACR_Type',['../unionSDHC__ACR__Type.html',1,'']]],
['sdhc_5faesr_5ftype_16150',['SDHC_AESR_Type',['../unionSDHC__AESR__Type.html',1,'']]],
['sdhc_5farg1r_5ftype_16151',['SDHC_ARG1R_Type',['../unionSDHC__ARG1R__Type.html',1,'']]],
['sdhc_5fasar_5ftype_16152',['SDHC_ASAR_Type',['../unionSDHC__ASAR__Type.html',1,'']]],
['sdhc_5fbcr_5ftype_16153',['SDHC_BCR_Type',['../unionSDHC__BCR__Type.html',1,'']]],
['sdhc_5fbdpr_5ftype_16154',['SDHC_BDPR_Type',['../unionSDHC__BDPR__Type.html',1,'']]],
['sdhc_5fbgcr_5ftype_16155',['SDHC_BGCR_Type',['../unionSDHC__BGCR__Type.html',1,'']]],
['sdhc_5fbsr_5ftype_16156',['SDHC_BSR_Type',['../unionSDHC__BSR__Type.html',1,'']]],
['sdhc_5fca0r_5ftype_16157',['SDHC_CA0R_Type',['../unionSDHC__CA0R__Type.html',1,'']]],
['sdhc_5fca1r_5ftype_16158',['SDHC_CA1R_Type',['../unionSDHC__CA1R__Type.html',1,'']]],
['sdhc_5fcacr_5ftype_16159',['SDHC_CACR_Type',['../unionSDHC__CACR__Type.html',1,'']]],
['sdhc_5fcc2r_5ftype_16160',['SDHC_CC2R_Type',['../unionSDHC__CC2R__Type.html',1,'']]],
['sdhc_5fccr_5ftype_16161',['SDHC_CCR_Type',['../unionSDHC__CCR__Type.html',1,'']]],
['sdhc_5fcr_5ftype_16162',['SDHC_CR_Type',['../unionSDHC__CR__Type.html',1,'']]],
['sdhc_5fdbgr_5ftype_16163',['SDHC_DBGR_Type',['../unionSDHC__DBGR__Type.html',1,'']]],
['sdhc_5feisier_5ftype_16164',['SDHC_EISIER_Type',['../unionSDHC__EISIER__Type.html',1,'']]],
['sdhc_5feister_5ftype_16165',['SDHC_EISTER_Type',['../unionSDHC__EISTER__Type.html',1,'']]],
['sdhc_5feistr_5ftype_16166',['SDHC_EISTR_Type',['../unionSDHC__EISTR__Type.html',1,'']]],
['sdhc_5fferaces_5ftype_16167',['SDHC_FERACES_Type',['../unionSDHC__FERACES__Type.html',1,'']]],
['sdhc_5ffereis_5ftype_16168',['SDHC_FEREIS_Type',['../unionSDHC__FEREIS__Type.html',1,'']]],
['sdhc_5fhc1r_5ftype_16169',['SDHC_HC1R_Type',['../unionSDHC__HC1R__Type.html',1,'']]],
['sdhc_5fhc2r_5ftype_16170',['SDHC_HC2R_Type',['../unionSDHC__HC2R__Type.html',1,'']]],
['sdhc_5fhcvr_5ftype_16171',['SDHC_HCVR_Type',['../unionSDHC__HCVR__Type.html',1,'']]],
['sdhc_5fmc1r_5ftype_16172',['SDHC_MC1R_Type',['../unionSDHC__MC1R__Type.html',1,'']]],
['sdhc_5fmc2r_5ftype_16173',['SDHC_MC2R_Type',['../unionSDHC__MC2R__Type.html',1,'']]],
['sdhc_5fmccar_5ftype_16174',['SDHC_MCCAR_Type',['../unionSDHC__MCCAR__Type.html',1,'']]],
['sdhc_5fnisier_5ftype_16175',['SDHC_NISIER_Type',['../unionSDHC__NISIER__Type.html',1,'']]],
['sdhc_5fnister_5ftype_16176',['SDHC_NISTER_Type',['../unionSDHC__NISTER__Type.html',1,'']]],
['sdhc_5fnistr_5ftype_16177',['SDHC_NISTR_Type',['../unionSDHC__NISTR__Type.html',1,'']]],
['sdhc_5fpcr_5ftype_16178',['SDHC_PCR_Type',['../unionSDHC__PCR__Type.html',1,'']]],
['sdhc_5fpsr_5ftype_16179',['SDHC_PSR_Type',['../unionSDHC__PSR__Type.html',1,'']]],
['sdhc_5fpvr_5ftype_16180',['SDHC_PVR_Type',['../unionSDHC__PVR__Type.html',1,'']]],
['sdhc_5frr_5ftype_16181',['SDHC_RR_Type',['../unionSDHC__RR__Type.html',1,'']]],
['sdhc_5fsisr_5ftype_16182',['SDHC_SISR_Type',['../unionSDHC__SISR__Type.html',1,'']]],
['sdhc_5fsrr_5ftype_16183',['SDHC_SRR_Type',['../unionSDHC__SRR__Type.html',1,'']]],
['sdhc_5fssar_5ftype_16184',['SDHC_SSAR_Type',['../unionSDHC__SSAR__Type.html',1,'']]],
['sdhc_5ftcr_5ftype_16185',['SDHC_TCR_Type',['../unionSDHC__TCR__Type.html',1,'']]],
['sdhc_5ftmr_5ftype_16186',['SDHC_TMR_Type',['../unionSDHC__TMR__Type.html',1,'']]],
['sdhc_5fwcr_5ftype_16187',['SDHC_WCR_Type',['../unionSDHC__WCR__Type.html',1,'']]],
['sercom_16188',['Sercom',['../unionSercom.html',1,'']]],
['sercom_5fi2cm_5faddr_5ftype_16189',['SERCOM_I2CM_ADDR_Type',['../unionSERCOM__I2CM__ADDR__Type.html',1,'']]],
['sercom_5fi2cm_5fbaud_5ftype_16190',['SERCOM_I2CM_BAUD_Type',['../unionSERCOM__I2CM__BAUD__Type.html',1,'']]],
['sercom_5fi2cm_5fctrla_5ftype_16191',['SERCOM_I2CM_CTRLA_Type',['../unionSERCOM__I2CM__CTRLA__Type.html',1,'']]],
['sercom_5fi2cm_5fctrlb_5ftype_16192',['SERCOM_I2CM_CTRLB_Type',['../unionSERCOM__I2CM__CTRLB__Type.html',1,'']]],
['sercom_5fi2cm_5fctrlc_5ftype_16193',['SERCOM_I2CM_CTRLC_Type',['../unionSERCOM__I2CM__CTRLC__Type.html',1,'']]],
['sercom_5fi2cm_5fdata_5ftype_16194',['SERCOM_I2CM_DATA_Type',['../unionSERCOM__I2CM__DATA__Type.html',1,'']]],
['sercom_5fi2cm_5fdbgctrl_5ftype_16195',['SERCOM_I2CM_DBGCTRL_Type',['../unionSERCOM__I2CM__DBGCTRL__Type.html',1,'']]],
['sercom_5fi2cm_5fintenclr_5ftype_16196',['SERCOM_I2CM_INTENCLR_Type',['../unionSERCOM__I2CM__INTENCLR__Type.html',1,'']]],
['sercom_5fi2cm_5fintenset_5ftype_16197',['SERCOM_I2CM_INTENSET_Type',['../unionSERCOM__I2CM__INTENSET__Type.html',1,'']]],
['sercom_5fi2cm_5fintflag_5ftype_16198',['SERCOM_I2CM_INTFLAG_Type',['../unionSERCOM__I2CM__INTFLAG__Type.html',1,'']]],
['sercom_5fi2cm_5fstatus_5ftype_16199',['SERCOM_I2CM_STATUS_Type',['../unionSERCOM__I2CM__STATUS__Type.html',1,'']]],
['sercom_5fi2cm_5fsyncbusy_5ftype_16200',['SERCOM_I2CM_SYNCBUSY_Type',['../unionSERCOM__I2CM__SYNCBUSY__Type.html',1,'']]],
['sercom_5fi2cs_5faddr_5ftype_16201',['SERCOM_I2CS_ADDR_Type',['../unionSERCOM__I2CS__ADDR__Type.html',1,'']]],
['sercom_5fi2cs_5fctrla_5ftype_16202',['SERCOM_I2CS_CTRLA_Type',['../unionSERCOM__I2CS__CTRLA__Type.html',1,'']]],
['sercom_5fi2cs_5fctrlb_5ftype_16203',['SERCOM_I2CS_CTRLB_Type',['../unionSERCOM__I2CS__CTRLB__Type.html',1,'']]],
['sercom_5fi2cs_5fctrlc_5ftype_16204',['SERCOM_I2CS_CTRLC_Type',['../unionSERCOM__I2CS__CTRLC__Type.html',1,'']]],
['sercom_5fi2cs_5fdata_5ftype_16205',['SERCOM_I2CS_DATA_Type',['../unionSERCOM__I2CS__DATA__Type.html',1,'']]],
['sercom_5fi2cs_5fintenclr_5ftype_16206',['SERCOM_I2CS_INTENCLR_Type',['../unionSERCOM__I2CS__INTENCLR__Type.html',1,'']]],
['sercom_5fi2cs_5fintenset_5ftype_16207',['SERCOM_I2CS_INTENSET_Type',['../unionSERCOM__I2CS__INTENSET__Type.html',1,'']]],
['sercom_5fi2cs_5fintflag_5ftype_16208',['SERCOM_I2CS_INTFLAG_Type',['../unionSERCOM__I2CS__INTFLAG__Type.html',1,'']]],
['sercom_5fi2cs_5flength_5ftype_16209',['SERCOM_I2CS_LENGTH_Type',['../unionSERCOM__I2CS__LENGTH__Type.html',1,'']]],
['sercom_5fi2cs_5fstatus_5ftype_16210',['SERCOM_I2CS_STATUS_Type',['../unionSERCOM__I2CS__STATUS__Type.html',1,'']]],
['sercom_5fi2cs_5fsyncbusy_5ftype_16211',['SERCOM_I2CS_SYNCBUSY_Type',['../unionSERCOM__I2CS__SYNCBUSY__Type.html',1,'']]],
['sercom_5fspi_5faddr_5ftype_16212',['SERCOM_SPI_ADDR_Type',['../unionSERCOM__SPI__ADDR__Type.html',1,'']]],
['sercom_5fspi_5fbaud_5ftype_16213',['SERCOM_SPI_BAUD_Type',['../unionSERCOM__SPI__BAUD__Type.html',1,'']]],
['sercom_5fspi_5fctrla_5ftype_16214',['SERCOM_SPI_CTRLA_Type',['../unionSERCOM__SPI__CTRLA__Type.html',1,'']]],
['sercom_5fspi_5fctrlb_5ftype_16215',['SERCOM_SPI_CTRLB_Type',['../unionSERCOM__SPI__CTRLB__Type.html',1,'']]],
['sercom_5fspi_5fctrlc_5ftype_16216',['SERCOM_SPI_CTRLC_Type',['../unionSERCOM__SPI__CTRLC__Type.html',1,'']]],
['sercom_5fspi_5fdata_5ftype_16217',['SERCOM_SPI_DATA_Type',['../unionSERCOM__SPI__DATA__Type.html',1,'']]],
['sercom_5fspi_5fdbgctrl_5ftype_16218',['SERCOM_SPI_DBGCTRL_Type',['../unionSERCOM__SPI__DBGCTRL__Type.html',1,'']]],
['sercom_5fspi_5fintenclr_5ftype_16219',['SERCOM_SPI_INTENCLR_Type',['../unionSERCOM__SPI__INTENCLR__Type.html',1,'']]],
['sercom_5fspi_5fintenset_5ftype_16220',['SERCOM_SPI_INTENSET_Type',['../unionSERCOM__SPI__INTENSET__Type.html',1,'']]],
['sercom_5fspi_5fintflag_5ftype_16221',['SERCOM_SPI_INTFLAG_Type',['../unionSERCOM__SPI__INTFLAG__Type.html',1,'']]],
['sercom_5fspi_5flength_5ftype_16222',['SERCOM_SPI_LENGTH_Type',['../unionSERCOM__SPI__LENGTH__Type.html',1,'']]],
['sercom_5fspi_5fstatus_5ftype_16223',['SERCOM_SPI_STATUS_Type',['../unionSERCOM__SPI__STATUS__Type.html',1,'']]],
['sercom_5fspi_5fsyncbusy_5ftype_16224',['SERCOM_SPI_SYNCBUSY_Type',['../unionSERCOM__SPI__SYNCBUSY__Type.html',1,'']]],
['sercom_5fusart_5fbaud_5ftype_16225',['SERCOM_USART_BAUD_Type',['../unionSERCOM__USART__BAUD__Type.html',1,'']]],
['sercom_5fusart_5fctrla_5ftype_16226',['SERCOM_USART_CTRLA_Type',['../unionSERCOM__USART__CTRLA__Type.html',1,'']]],
['sercom_5fusart_5fctrlb_5ftype_16227',['SERCOM_USART_CTRLB_Type',['../unionSERCOM__USART__CTRLB__Type.html',1,'']]],
['sercom_5fusart_5fctrlc_5ftype_16228',['SERCOM_USART_CTRLC_Type',['../unionSERCOM__USART__CTRLC__Type.html',1,'']]],
['sercom_5fusart_5fdata_5ftype_16229',['SERCOM_USART_DATA_Type',['../unionSERCOM__USART__DATA__Type.html',1,'']]],
['sercom_5fusart_5fdbgctrl_5ftype_16230',['SERCOM_USART_DBGCTRL_Type',['../unionSERCOM__USART__DBGCTRL__Type.html',1,'']]],
['sercom_5fusart_5fintenclr_5ftype_16231',['SERCOM_USART_INTENCLR_Type',['../unionSERCOM__USART__INTENCLR__Type.html',1,'']]],
['sercom_5fusart_5fintenset_5ftype_16232',['SERCOM_USART_INTENSET_Type',['../unionSERCOM__USART__INTENSET__Type.html',1,'']]],
['sercom_5fusart_5fintflag_5ftype_16233',['SERCOM_USART_INTFLAG_Type',['../unionSERCOM__USART__INTFLAG__Type.html',1,'']]],
['sercom_5fusart_5flength_5ftype_16234',['SERCOM_USART_LENGTH_Type',['../unionSERCOM__USART__LENGTH__Type.html',1,'']]],
['sercom_5fusart_5frxerrcnt_5ftype_16235',['SERCOM_USART_RXERRCNT_Type',['../unionSERCOM__USART__RXERRCNT__Type.html',1,'']]],
['sercom_5fusart_5frxpl_5ftype_16236',['SERCOM_USART_RXPL_Type',['../unionSERCOM__USART__RXPL__Type.html',1,'']]],
['sercom_5fusart_5fstatus_5ftype_16237',['SERCOM_USART_STATUS_Type',['../unionSERCOM__USART__STATUS__Type.html',1,'']]],
['sercom_5fusart_5fsyncbusy_5ftype_16238',['SERCOM_USART_SYNCBUSY_Type',['../unionSERCOM__USART__SYNCBUSY__Type.html',1,'']]],
['sercomi2cm_16239',['SercomI2cm',['../structSercomI2cm.html',1,'']]],
['sercomi2cs_16240',['SercomI2cs',['../structSercomI2cs.html',1,'']]],
['sercomspi_16241',['SercomSpi',['../structSercomSpi.html',1,'']]],
['sercomusart_16242',['SercomUsart',['../structSercomUsart.html',1,'']]],
['supc_16243',['Supc',['../structSupc.html',1,'']]],
['supc_5fbbps_5ftype_16244',['SUPC_BBPS_Type',['../unionSUPC__BBPS__Type.html',1,'']]],
['supc_5fbkin_5ftype_16245',['SUPC_BKIN_Type',['../unionSUPC__BKIN__Type.html',1,'']]],
['supc_5fbkout_5ftype_16246',['SUPC_BKOUT_Type',['../unionSUPC__BKOUT__Type.html',1,'']]],
['supc_5fbod33_5ftype_16247',['SUPC_BOD33_Type',['../unionSUPC__BOD33__Type.html',1,'']]],
['supc_5fintenclr_5ftype_16248',['SUPC_INTENCLR_Type',['../unionSUPC__INTENCLR__Type.html',1,'']]],
['supc_5fintenset_5ftype_16249',['SUPC_INTENSET_Type',['../unionSUPC__INTENSET__Type.html',1,'']]],
['supc_5fintflag_5ftype_16250',['SUPC_INTFLAG_Type',['../unionSUPC__INTFLAG__Type.html',1,'']]],
['supc_5fstatus_5ftype_16251',['SUPC_STATUS_Type',['../unionSUPC__STATUS__Type.html',1,'']]],
['supc_5fvref_5ftype_16252',['SUPC_VREF_Type',['../unionSUPC__VREF__Type.html',1,'']]],
['supc_5fvreg_5ftype_16253',['SUPC_VREG_Type',['../unionSUPC__VREG__Type.html',1,'']]]
['sdhc_16182',['Sdhc',['../structSdhc.html',1,'']]],
['sdhc_5facesr_5ftype_16183',['SDHC_ACESR_Type',['../unionSDHC__ACESR__Type.html',1,'']]],
['sdhc_5facr_5ftype_16184',['SDHC_ACR_Type',['../unionSDHC__ACR__Type.html',1,'']]],
['sdhc_5faesr_5ftype_16185',['SDHC_AESR_Type',['../unionSDHC__AESR__Type.html',1,'']]],
['sdhc_5farg1r_5ftype_16186',['SDHC_ARG1R_Type',['../unionSDHC__ARG1R__Type.html',1,'']]],
['sdhc_5fasar_5ftype_16187',['SDHC_ASAR_Type',['../unionSDHC__ASAR__Type.html',1,'']]],
['sdhc_5fbcr_5ftype_16188',['SDHC_BCR_Type',['../unionSDHC__BCR__Type.html',1,'']]],
['sdhc_5fbdpr_5ftype_16189',['SDHC_BDPR_Type',['../unionSDHC__BDPR__Type.html',1,'']]],
['sdhc_5fbgcr_5ftype_16190',['SDHC_BGCR_Type',['../unionSDHC__BGCR__Type.html',1,'']]],
['sdhc_5fbsr_5ftype_16191',['SDHC_BSR_Type',['../unionSDHC__BSR__Type.html',1,'']]],
['sdhc_5fca0r_5ftype_16192',['SDHC_CA0R_Type',['../unionSDHC__CA0R__Type.html',1,'']]],
['sdhc_5fca1r_5ftype_16193',['SDHC_CA1R_Type',['../unionSDHC__CA1R__Type.html',1,'']]],
['sdhc_5fcacr_5ftype_16194',['SDHC_CACR_Type',['../unionSDHC__CACR__Type.html',1,'']]],
['sdhc_5fcc2r_5ftype_16195',['SDHC_CC2R_Type',['../unionSDHC__CC2R__Type.html',1,'']]],
['sdhc_5fccr_5ftype_16196',['SDHC_CCR_Type',['../unionSDHC__CCR__Type.html',1,'']]],
['sdhc_5fcr_5ftype_16197',['SDHC_CR_Type',['../unionSDHC__CR__Type.html',1,'']]],
['sdhc_5fdbgr_5ftype_16198',['SDHC_DBGR_Type',['../unionSDHC__DBGR__Type.html',1,'']]],
['sdhc_5feisier_5ftype_16199',['SDHC_EISIER_Type',['../unionSDHC__EISIER__Type.html',1,'']]],
['sdhc_5feister_5ftype_16200',['SDHC_EISTER_Type',['../unionSDHC__EISTER__Type.html',1,'']]],
['sdhc_5feistr_5ftype_16201',['SDHC_EISTR_Type',['../unionSDHC__EISTR__Type.html',1,'']]],
['sdhc_5fferaces_5ftype_16202',['SDHC_FERACES_Type',['../unionSDHC__FERACES__Type.html',1,'']]],
['sdhc_5ffereis_5ftype_16203',['SDHC_FEREIS_Type',['../unionSDHC__FEREIS__Type.html',1,'']]],
['sdhc_5fhc1r_5ftype_16204',['SDHC_HC1R_Type',['../unionSDHC__HC1R__Type.html',1,'']]],
['sdhc_5fhc2r_5ftype_16205',['SDHC_HC2R_Type',['../unionSDHC__HC2R__Type.html',1,'']]],
['sdhc_5fhcvr_5ftype_16206',['SDHC_HCVR_Type',['../unionSDHC__HCVR__Type.html',1,'']]],
['sdhc_5fmc1r_5ftype_16207',['SDHC_MC1R_Type',['../unionSDHC__MC1R__Type.html',1,'']]],
['sdhc_5fmc2r_5ftype_16208',['SDHC_MC2R_Type',['../unionSDHC__MC2R__Type.html',1,'']]],
['sdhc_5fmccar_5ftype_16209',['SDHC_MCCAR_Type',['../unionSDHC__MCCAR__Type.html',1,'']]],
['sdhc_5fnisier_5ftype_16210',['SDHC_NISIER_Type',['../unionSDHC__NISIER__Type.html',1,'']]],
['sdhc_5fnister_5ftype_16211',['SDHC_NISTER_Type',['../unionSDHC__NISTER__Type.html',1,'']]],
['sdhc_5fnistr_5ftype_16212',['SDHC_NISTR_Type',['../unionSDHC__NISTR__Type.html',1,'']]],
['sdhc_5fpcr_5ftype_16213',['SDHC_PCR_Type',['../unionSDHC__PCR__Type.html',1,'']]],
['sdhc_5fpsr_5ftype_16214',['SDHC_PSR_Type',['../unionSDHC__PSR__Type.html',1,'']]],
['sdhc_5fpvr_5ftype_16215',['SDHC_PVR_Type',['../unionSDHC__PVR__Type.html',1,'']]],
['sdhc_5frr_5ftype_16216',['SDHC_RR_Type',['../unionSDHC__RR__Type.html',1,'']]],
['sdhc_5fsisr_5ftype_16217',['SDHC_SISR_Type',['../unionSDHC__SISR__Type.html',1,'']]],
['sdhc_5fsrr_5ftype_16218',['SDHC_SRR_Type',['../unionSDHC__SRR__Type.html',1,'']]],
['sdhc_5fssar_5ftype_16219',['SDHC_SSAR_Type',['../unionSDHC__SSAR__Type.html',1,'']]],
['sdhc_5ftcr_5ftype_16220',['SDHC_TCR_Type',['../unionSDHC__TCR__Type.html',1,'']]],
['sdhc_5ftmr_5ftype_16221',['SDHC_TMR_Type',['../unionSDHC__TMR__Type.html',1,'']]],
['sdhc_5fwcr_5ftype_16222',['SDHC_WCR_Type',['../unionSDHC__WCR__Type.html',1,'']]],
['sercom_16223',['Sercom',['../unionSercom.html',1,'']]],
['sercom_5fi2cm_5faddr_5ftype_16224',['SERCOM_I2CM_ADDR_Type',['../unionSERCOM__I2CM__ADDR__Type.html',1,'']]],
['sercom_5fi2cm_5fbaud_5ftype_16225',['SERCOM_I2CM_BAUD_Type',['../unionSERCOM__I2CM__BAUD__Type.html',1,'']]],
['sercom_5fi2cm_5fctrla_5ftype_16226',['SERCOM_I2CM_CTRLA_Type',['../unionSERCOM__I2CM__CTRLA__Type.html',1,'']]],
['sercom_5fi2cm_5fctrlb_5ftype_16227',['SERCOM_I2CM_CTRLB_Type',['../unionSERCOM__I2CM__CTRLB__Type.html',1,'']]],
['sercom_5fi2cm_5fctrlc_5ftype_16228',['SERCOM_I2CM_CTRLC_Type',['../unionSERCOM__I2CM__CTRLC__Type.html',1,'']]],
['sercom_5fi2cm_5fdata_5ftype_16229',['SERCOM_I2CM_DATA_Type',['../unionSERCOM__I2CM__DATA__Type.html',1,'']]],
['sercom_5fi2cm_5fdbgctrl_5ftype_16230',['SERCOM_I2CM_DBGCTRL_Type',['../unionSERCOM__I2CM__DBGCTRL__Type.html',1,'']]],
['sercom_5fi2cm_5fintenclr_5ftype_16231',['SERCOM_I2CM_INTENCLR_Type',['../unionSERCOM__I2CM__INTENCLR__Type.html',1,'']]],
['sercom_5fi2cm_5fintenset_5ftype_16232',['SERCOM_I2CM_INTENSET_Type',['../unionSERCOM__I2CM__INTENSET__Type.html',1,'']]],
['sercom_5fi2cm_5fintflag_5ftype_16233',['SERCOM_I2CM_INTFLAG_Type',['../unionSERCOM__I2CM__INTFLAG__Type.html',1,'']]],
['sercom_5fi2cm_5fstatus_5ftype_16234',['SERCOM_I2CM_STATUS_Type',['../unionSERCOM__I2CM__STATUS__Type.html',1,'']]],
['sercom_5fi2cm_5fsyncbusy_5ftype_16235',['SERCOM_I2CM_SYNCBUSY_Type',['../unionSERCOM__I2CM__SYNCBUSY__Type.html',1,'']]],
['sercom_5fi2cs_5faddr_5ftype_16236',['SERCOM_I2CS_ADDR_Type',['../unionSERCOM__I2CS__ADDR__Type.html',1,'']]],
['sercom_5fi2cs_5fctrla_5ftype_16237',['SERCOM_I2CS_CTRLA_Type',['../unionSERCOM__I2CS__CTRLA__Type.html',1,'']]],
['sercom_5fi2cs_5fctrlb_5ftype_16238',['SERCOM_I2CS_CTRLB_Type',['../unionSERCOM__I2CS__CTRLB__Type.html',1,'']]],
['sercom_5fi2cs_5fctrlc_5ftype_16239',['SERCOM_I2CS_CTRLC_Type',['../unionSERCOM__I2CS__CTRLC__Type.html',1,'']]],
['sercom_5fi2cs_5fdata_5ftype_16240',['SERCOM_I2CS_DATA_Type',['../unionSERCOM__I2CS__DATA__Type.html',1,'']]],
['sercom_5fi2cs_5fintenclr_5ftype_16241',['SERCOM_I2CS_INTENCLR_Type',['../unionSERCOM__I2CS__INTENCLR__Type.html',1,'']]],
['sercom_5fi2cs_5fintenset_5ftype_16242',['SERCOM_I2CS_INTENSET_Type',['../unionSERCOM__I2CS__INTENSET__Type.html',1,'']]],
['sercom_5fi2cs_5fintflag_5ftype_16243',['SERCOM_I2CS_INTFLAG_Type',['../unionSERCOM__I2CS__INTFLAG__Type.html',1,'']]],
['sercom_5fi2cs_5flength_5ftype_16244',['SERCOM_I2CS_LENGTH_Type',['../unionSERCOM__I2CS__LENGTH__Type.html',1,'']]],
['sercom_5fi2cs_5fstatus_5ftype_16245',['SERCOM_I2CS_STATUS_Type',['../unionSERCOM__I2CS__STATUS__Type.html',1,'']]],
['sercom_5fi2cs_5fsyncbusy_5ftype_16246',['SERCOM_I2CS_SYNCBUSY_Type',['../unionSERCOM__I2CS__SYNCBUSY__Type.html',1,'']]],
['sercom_5fspi_5faddr_5ftype_16247',['SERCOM_SPI_ADDR_Type',['../unionSERCOM__SPI__ADDR__Type.html',1,'']]],
['sercom_5fspi_5fbaud_5ftype_16248',['SERCOM_SPI_BAUD_Type',['../unionSERCOM__SPI__BAUD__Type.html',1,'']]],
['sercom_5fspi_5fctrla_5ftype_16249',['SERCOM_SPI_CTRLA_Type',['../unionSERCOM__SPI__CTRLA__Type.html',1,'']]],
['sercom_5fspi_5fctrlb_5ftype_16250',['SERCOM_SPI_CTRLB_Type',['../unionSERCOM__SPI__CTRLB__Type.html',1,'']]],
['sercom_5fspi_5fctrlc_5ftype_16251',['SERCOM_SPI_CTRLC_Type',['../unionSERCOM__SPI__CTRLC__Type.html',1,'']]],
['sercom_5fspi_5fdata_5ftype_16252',['SERCOM_SPI_DATA_Type',['../unionSERCOM__SPI__DATA__Type.html',1,'']]],
['sercom_5fspi_5fdbgctrl_5ftype_16253',['SERCOM_SPI_DBGCTRL_Type',['../unionSERCOM__SPI__DBGCTRL__Type.html',1,'']]],
['sercom_5fspi_5fintenclr_5ftype_16254',['SERCOM_SPI_INTENCLR_Type',['../unionSERCOM__SPI__INTENCLR__Type.html',1,'']]],
['sercom_5fspi_5fintenset_5ftype_16255',['SERCOM_SPI_INTENSET_Type',['../unionSERCOM__SPI__INTENSET__Type.html',1,'']]],
['sercom_5fspi_5fintflag_5ftype_16256',['SERCOM_SPI_INTFLAG_Type',['../unionSERCOM__SPI__INTFLAG__Type.html',1,'']]],
['sercom_5fspi_5flength_5ftype_16257',['SERCOM_SPI_LENGTH_Type',['../unionSERCOM__SPI__LENGTH__Type.html',1,'']]],
['sercom_5fspi_5fstatus_5ftype_16258',['SERCOM_SPI_STATUS_Type',['../unionSERCOM__SPI__STATUS__Type.html',1,'']]],
['sercom_5fspi_5fsyncbusy_5ftype_16259',['SERCOM_SPI_SYNCBUSY_Type',['../unionSERCOM__SPI__SYNCBUSY__Type.html',1,'']]],
['sercom_5fusart_5fbaud_5ftype_16260',['SERCOM_USART_BAUD_Type',['../unionSERCOM__USART__BAUD__Type.html',1,'']]],
['sercom_5fusart_5fctrla_5ftype_16261',['SERCOM_USART_CTRLA_Type',['../unionSERCOM__USART__CTRLA__Type.html',1,'']]],
['sercom_5fusart_5fctrlb_5ftype_16262',['SERCOM_USART_CTRLB_Type',['../unionSERCOM__USART__CTRLB__Type.html',1,'']]],
['sercom_5fusart_5fctrlc_5ftype_16263',['SERCOM_USART_CTRLC_Type',['../unionSERCOM__USART__CTRLC__Type.html',1,'']]],
['sercom_5fusart_5fdata_5ftype_16264',['SERCOM_USART_DATA_Type',['../unionSERCOM__USART__DATA__Type.html',1,'']]],
['sercom_5fusart_5fdbgctrl_5ftype_16265',['SERCOM_USART_DBGCTRL_Type',['../unionSERCOM__USART__DBGCTRL__Type.html',1,'']]],
['sercom_5fusart_5fintenclr_5ftype_16266',['SERCOM_USART_INTENCLR_Type',['../unionSERCOM__USART__INTENCLR__Type.html',1,'']]],
['sercom_5fusart_5fintenset_5ftype_16267',['SERCOM_USART_INTENSET_Type',['../unionSERCOM__USART__INTENSET__Type.html',1,'']]],
['sercom_5fusart_5fintflag_5ftype_16268',['SERCOM_USART_INTFLAG_Type',['../unionSERCOM__USART__INTFLAG__Type.html',1,'']]],
['sercom_5fusart_5flength_5ftype_16269',['SERCOM_USART_LENGTH_Type',['../unionSERCOM__USART__LENGTH__Type.html',1,'']]],
['sercom_5fusart_5frxerrcnt_5ftype_16270',['SERCOM_USART_RXERRCNT_Type',['../unionSERCOM__USART__RXERRCNT__Type.html',1,'']]],
['sercom_5fusart_5frxpl_5ftype_16271',['SERCOM_USART_RXPL_Type',['../unionSERCOM__USART__RXPL__Type.html',1,'']]],
['sercom_5fusart_5fstatus_5ftype_16272',['SERCOM_USART_STATUS_Type',['../unionSERCOM__USART__STATUS__Type.html',1,'']]],
['sercom_5fusart_5fsyncbusy_5ftype_16273',['SERCOM_USART_SYNCBUSY_Type',['../unionSERCOM__USART__SYNCBUSY__Type.html',1,'']]],
['sercomi2cm_16274',['SercomI2cm',['../structSercomI2cm.html',1,'']]],
['sercomi2cs_16275',['SercomI2cs',['../structSercomI2cs.html',1,'']]],
['sercomspi_16276',['SercomSpi',['../structSercomSpi.html',1,'']]],
['sercomusart_16277',['SercomUsart',['../structSercomUsart.html',1,'']]],
['supc_16278',['Supc',['../structSupc.html',1,'']]],
['supc_5fbbps_5ftype_16279',['SUPC_BBPS_Type',['../unionSUPC__BBPS__Type.html',1,'']]],
['supc_5fbkin_5ftype_16280',['SUPC_BKIN_Type',['../unionSUPC__BKIN__Type.html',1,'']]],
['supc_5fbkout_5ftype_16281',['SUPC_BKOUT_Type',['../unionSUPC__BKOUT__Type.html',1,'']]],
['supc_5fbod33_5ftype_16282',['SUPC_BOD33_Type',['../unionSUPC__BOD33__Type.html',1,'']]],
['supc_5fintenclr_5ftype_16283',['SUPC_INTENCLR_Type',['../unionSUPC__INTENCLR__Type.html',1,'']]],
['supc_5fintenset_5ftype_16284',['SUPC_INTENSET_Type',['../unionSUPC__INTENSET__Type.html',1,'']]],
['supc_5fintflag_5ftype_16285',['SUPC_INTFLAG_Type',['../unionSUPC__INTFLAG__Type.html',1,'']]],
['supc_5fstatus_5ftype_16286',['SUPC_STATUS_Type',['../unionSUPC__STATUS__Type.html',1,'']]],
['supc_5fvref_5ftype_16287',['SUPC_VREF_Type',['../unionSUPC__VREF__Type.html',1,'']]],
['supc_5fvreg_5ftype_16288',['SUPC_VREG_Type',['../unionSUPC__VREG__Type.html',1,'']]]
];

@ -1,15 +1,15 @@
var searchData=
[
['_5f_5fcm4_5frev_19354',['__CM4_REV',['../same54n19a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54n19a.h'],['../same54n20a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54n20a.h'],['../same54p19a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54p19a.h'],['../same54p20a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54p20a.h']]],
['_5f_5fdebug_5flvl_19355',['__DEBUG_LVL',['../same54n19a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54n19a.h'],['../same54n20a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54n20a.h'],['../same54p19a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54p19a.h'],['../same54p20a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54p20a.h']]],
['_5f_5ffpu_5fpresent_19356',['__FPU_PRESENT',['../same54n19a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54p20a.h']]],
['_5f_5fmpu_5fpresent_19357',['__MPU_PRESENT',['../same54n19a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54p20a.h']]],
['_5f_5fnvic_5fprio_5fbits_19358',['__NVIC_PRIO_BITS',['../same54n19a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54n19a.h'],['../same54n20a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54n20a.h'],['../same54p19a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54p19a.h'],['../same54p20a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54p20a.h']]],
['_5f_5fsystem_5fclock_19359',['__SYSTEM_CLOCK',['../system__same54_8c.html#a16323f44d2b5b11ef3972f71339cbd39',1,'system_same54.c']]],
['_5f_5ftrace_5flvl_19360',['__TRACE_LVL',['../same54n19a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54n19a.h'],['../same54n20a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54n20a.h'],['../same54p19a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54p19a.h'],['../same54p20a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54p20a.h']]],
['_5f_5fvendor_5fsystickconfig_19361',['__Vendor_SysTickConfig',['../same54n19a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54n19a.h'],['../same54n20a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54n20a.h'],['../same54p19a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54p19a.h'],['../same54p20a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54p20a.h']]],
['_5f_5fvtor_5fpresent_19362',['__VTOR_PRESENT',['../same54n19a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54p20a.h']]],
['_5fl_5f_19363',['_L_',['../same54n19a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54n19a.h'],['../same54n20a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54n20a.h'],['../same54p19a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54p19a.h'],['../same54p20a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54p20a.h']]],
['_5fu_5f_19364',['_U_',['../same54n19a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54n19a.h'],['../same54n20a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54n20a.h'],['../same54p19a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54p19a.h'],['../same54p20a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54p20a.h']]],
['_5ful_5f_19365',['_UL_',['../same54n19a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54n19a.h'],['../same54n20a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54n20a.h'],['../same54p19a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54p19a.h'],['../same54p20a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54p20a.h']]]
['_5f_5fcm4_5frev_19389',['__CM4_REV',['../same54n19a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54n19a.h'],['../same54n20a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54n20a.h'],['../same54p19a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54p19a.h'],['../same54p20a_8h.html#a45a97e4bb8b6ce7c334acc5f45ace3ba',1,'__CM4_REV():&#160;same54p20a.h']]],
['_5f_5fdebug_5flvl_19390',['__DEBUG_LVL',['../same54n19a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54n19a.h'],['../same54n20a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54n20a.h'],['../same54p19a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54p19a.h'],['../same54p20a_8h.html#a6553bb6b06c89e27e2e4fb17088d93a7',1,'__DEBUG_LVL():&#160;same54p20a.h']]],
['_5f_5ffpu_5fpresent_19391',['__FPU_PRESENT',['../same54n19a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#ac1ba8a48ca926bddc88be9bfd7d42641',1,'__FPU_PRESENT():&#160;same54p20a.h']]],
['_5f_5fmpu_5fpresent_19392',['__MPU_PRESENT',['../same54n19a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#a4127d1b31aaf336fab3d7329d117f448',1,'__MPU_PRESENT():&#160;same54p20a.h']]],
['_5f_5fnvic_5fprio_5fbits_19393',['__NVIC_PRIO_BITS',['../same54n19a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54n19a.h'],['../same54n20a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54n20a.h'],['../same54p19a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54p19a.h'],['../same54p20a_8h.html#ae3fe3587d5100c787e02102ce3944460',1,'__NVIC_PRIO_BITS():&#160;same54p20a.h']]],
['_5f_5fsystem_5fclock_19394',['__SYSTEM_CLOCK',['../system__same54_8c.html#a16323f44d2b5b11ef3972f71339cbd39',1,'system_same54.c']]],
['_5f_5ftrace_5flvl_19395',['__TRACE_LVL',['../same54n19a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54n19a.h'],['../same54n20a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54n20a.h'],['../same54p19a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54p19a.h'],['../same54p20a_8h.html#a30be7f7d58acc645fc2938c8dbf14dc3',1,'__TRACE_LVL():&#160;same54p20a.h']]],
['_5f_5fvendor_5fsystickconfig_19396',['__Vendor_SysTickConfig',['../same54n19a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54n19a.h'],['../same54n20a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54n20a.h'],['../same54p19a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54p19a.h'],['../same54p20a_8h.html#ab58771b4ec03f9bdddc84770f7c95c68',1,'__Vendor_SysTickConfig():&#160;same54p20a.h']]],
['_5f_5fvtor_5fpresent_19397',['__VTOR_PRESENT',['../same54n19a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54n19a.h'],['../same54n20a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54n20a.h'],['../same54p19a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54p19a.h'],['../same54p20a_8h.html#addbae1a1b57539f398eb5546a17de8f6',1,'__VTOR_PRESENT():&#160;same54p20a.h']]],
['_5fl_5f_19398',['_L_',['../same54n19a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54n19a.h'],['../same54n20a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54n20a.h'],['../same54p19a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54p19a.h'],['../same54p20a_8h.html#abb0ab0fd09ef35746cd37013d15cd731',1,'_L_():&#160;same54p20a.h']]],
['_5fu_5f_19399',['_U_',['../same54n19a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54n19a.h'],['../same54n20a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54n20a.h'],['../same54p19a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54p19a.h'],['../same54p20a_8h.html#ab21106c87e6a98f4e2833499325b2867',1,'_U_():&#160;same54p20a.h']]],
['_5ful_5f_19400',['_UL_',['../same54n19a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54n19a.h'],['../same54n20a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54n20a.h'],['../same54p19a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54p19a.h'],['../same54p20a_8h.html#ad2451e287402b297a2a0687b6a2e38c3',1,'_UL_():&#160;same54p20a.h']]]
];

@ -1,482 +1,482 @@
var searchData=
[
['ac_19366',['AC',['../same54n19a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54n19a.h'],['../same54n20a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54n20a.h'],['../same54p19a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54p19a.h'],['../same54p20a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54p20a.h']]],
['ac_5fcalib_5fbias0_5fpos_19367',['AC_CALIB_BIAS0_Pos',['../component_2ac_8h.html#aa5330e11bd761c4c6f0d5614b994d139',1,'ac.h']]],
['ac_5fcalib_5fmask_19368',['AC_CALIB_MASK',['../component_2ac_8h.html#ae23b6385c98b8d26f94509c4679a802f',1,'ac.h']]],
['ac_5fcalib_5foffset_19369',['AC_CALIB_OFFSET',['../component_2ac_8h.html#a66776d54dd073666f77d33add83b2259',1,'ac.h']]],
['ac_5fcalib_5fresetvalue_19370',['AC_CALIB_RESETVALUE',['../component_2ac_8h.html#a4d10a9229a1809664a99b5b64158b4d1',1,'ac.h']]],
['ac_5fcompctrl_5fenable_5fpos_19371',['AC_COMPCTRL_ENABLE_Pos',['../component_2ac_8h.html#a492935af6daf7ba71e20073cd04c4e79',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fmaj3_5fval_19372',['AC_COMPCTRL_FLEN_MAJ3_Val',['../component_2ac_8h.html#a7e847b79ecfd83187149193ecaead562',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fmaj5_5fval_19373',['AC_COMPCTRL_FLEN_MAJ5_Val',['../component_2ac_8h.html#aaf4628cdfeb3c447df3cab7adf2665a8',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5foff_5fval_19374',['AC_COMPCTRL_FLEN_OFF_Val',['../component_2ac_8h.html#a724ff78f629c59a482f3318c76dca04e',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fpos_19375',['AC_COMPCTRL_FLEN_Pos',['../component_2ac_8h.html#a66181eff5fbbb90049f3aae3b27b5a62',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst100_5fval_19376',['AC_COMPCTRL_HYST_HYST100_Val',['../component_2ac_8h.html#a76d9532c9af08b035ac979b62ba01ada',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst150_5fval_19377',['AC_COMPCTRL_HYST_HYST150_Val',['../component_2ac_8h.html#abcba321c8007319ad90e4a393ded42e4',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst50_5fval_19378',['AC_COMPCTRL_HYST_HYST50_Val',['../component_2ac_8h.html#ad32217a0763207fb52502050a20cc73a',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fpos_19379',['AC_COMPCTRL_HYST_Pos',['../component_2ac_8h.html#a080eedbd8cdba82fc0d24013941b523b',1,'ac.h']]],
['ac_5fcompctrl_5fhysten_5fpos_19380',['AC_COMPCTRL_HYSTEN_Pos',['../component_2ac_8h.html#abbef1d3d199fcbe0c3a003a4ea60515f',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5feoc_5fval_19381',['AC_COMPCTRL_INTSEL_EOC_Val',['../component_2ac_8h.html#ad5b505d36ebe43b2bd56cc421c3f4ff7',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5ffalling_5fval_19382',['AC_COMPCTRL_INTSEL_FALLING_Val',['../component_2ac_8h.html#a67a517d1951cfe979b43f56a11cebaf0',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5fpos_19383',['AC_COMPCTRL_INTSEL_Pos',['../component_2ac_8h.html#af17b8514f92bb9fa7999607938ab4994',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5frising_5fval_19384',['AC_COMPCTRL_INTSEL_RISING_Val',['../component_2ac_8h.html#abeacc3b641a9b183888b92ce7e3361fd',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5ftoggle_5fval_19385',['AC_COMPCTRL_INTSEL_TOGGLE_Val',['../component_2ac_8h.html#aea16ed868355094251d2cdf1c1208f98',1,'ac.h']]],
['ac_5fcompctrl_5fmask_19386',['AC_COMPCTRL_MASK',['../component_2ac_8h.html#a485a4ac9ac9bea7b8d650fed87e02230',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fbandgap_5fval_19387',['AC_COMPCTRL_MUXNEG_BANDGAP_Val',['../component_2ac_8h.html#acef3eff54dde36e480ae03d0812de305',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fdac_5fval_19388',['AC_COMPCTRL_MUXNEG_DAC_Val',['../component_2ac_8h.html#a8e6a3e15110f0de0d04e4f3d91025027',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fgnd_5fval_19389',['AC_COMPCTRL_MUXNEG_GND_Val',['../component_2ac_8h.html#a04da0d95ff4ac4513cfca85f607ca882',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin0_5fval_19390',['AC_COMPCTRL_MUXNEG_PIN0_Val',['../component_2ac_8h.html#a6ac9acc365e62893a521696477b34269',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin1_5fval_19391',['AC_COMPCTRL_MUXNEG_PIN1_Val',['../component_2ac_8h.html#a873c2d1c95b263a318071d6689addd68',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin2_5fval_19392',['AC_COMPCTRL_MUXNEG_PIN2_Val',['../component_2ac_8h.html#aaf2bd6174b14f8ca4bdd9f20ec55e017',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin3_5fval_19393',['AC_COMPCTRL_MUXNEG_PIN3_Val',['../component_2ac_8h.html#ac9fa005049fffc6404e5517a25ace420',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpos_19394',['AC_COMPCTRL_MUXNEG_Pos',['../component_2ac_8h.html#ae66ccdb1fdce71c5b35d4acf2dcd5234',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fvscale_5fval_19395',['AC_COMPCTRL_MUXNEG_VSCALE_Val',['../component_2ac_8h.html#a6c73eec576197476fa0e9ed08bfe24a2',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin0_5fval_19396',['AC_COMPCTRL_MUXPOS_PIN0_Val',['../component_2ac_8h.html#aa1bfbd8fc89186f1a11a61237e80c4c5',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin1_5fval_19397',['AC_COMPCTRL_MUXPOS_PIN1_Val',['../component_2ac_8h.html#a9fb0459c9bcee7f1ecde923e57096492',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin2_5fval_19398',['AC_COMPCTRL_MUXPOS_PIN2_Val',['../component_2ac_8h.html#a6e55540b07d767a9d998e64c7d27972b',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin3_5fval_19399',['AC_COMPCTRL_MUXPOS_PIN3_Val',['../component_2ac_8h.html#a3fa0d80ee6e615fa69c31af219f66c4a',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpos_19400',['AC_COMPCTRL_MUXPOS_Pos',['../component_2ac_8h.html#ad4bb8c36791a0ea8139ae435b00e4365',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fvscale_5fval_19401',['AC_COMPCTRL_MUXPOS_VSCALE_Val',['../component_2ac_8h.html#a4e6961d30aa8bf0ed3f905ac3169ebdf',1,'ac.h']]],
['ac_5fcompctrl_5foffset_19402',['AC_COMPCTRL_OFFSET',['../component_2ac_8h.html#a9adbf00895d91af14908e942640e2e2d',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fasync_5fval_19403',['AC_COMPCTRL_OUT_ASYNC_Val',['../component_2ac_8h.html#a45c6b70bb8a6f53dc173f631c9ac7fca',1,'ac.h']]],
['ac_5fcompctrl_5fout_5foff_5fval_19404',['AC_COMPCTRL_OUT_OFF_Val',['../component_2ac_8h.html#af53c9e960f0c4a3279b6068973c65cc7',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fpos_19405',['AC_COMPCTRL_OUT_Pos',['../component_2ac_8h.html#a6dfe864873a0eadaba8922ecf4b25ed1',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fsync_5fval_19406',['AC_COMPCTRL_OUT_SYNC_Val',['../component_2ac_8h.html#a85ea47206d4f4b7d3b3a59244ae48705',1,'ac.h']]],
['ac_5fcompctrl_5fresetvalue_19407',['AC_COMPCTRL_RESETVALUE',['../component_2ac_8h.html#a7aff9c3d55f6002d210eacea4f433a40',1,'ac.h']]],
['ac_5fcompctrl_5frunstdby_5fpos_19408',['AC_COMPCTRL_RUNSTDBY_Pos',['../component_2ac_8h.html#a209a69c0a7c751dc9e096044568b9ebd',1,'ac.h']]],
['ac_5fcompctrl_5fsingle_5fpos_19409',['AC_COMPCTRL_SINGLE_Pos',['../component_2ac_8h.html#af813cfd0ab476ddfe67bfe59dfe42c2b',1,'ac.h']]],
['ac_5fcompctrl_5fspeed_5fhigh_5fval_19410',['AC_COMPCTRL_SPEED_HIGH_Val',['../component_2ac_8h.html#a6b45b0641286de29f24da3deb2330cc4',1,'ac.h']]],
['ac_5fcompctrl_5fspeed_5fpos_19411',['AC_COMPCTRL_SPEED_Pos',['../component_2ac_8h.html#af0d15bf42764457190c7bf06e67c0d9c',1,'ac.h']]],
['ac_5fcompctrl_5fswap_5fpos_19412',['AC_COMPCTRL_SWAP_Pos',['../component_2ac_8h.html#a4e28f151d0fc3d299c8d3be58f7af79d',1,'ac.h']]],
['ac_5fctrla_5fenable_5fpos_19413',['AC_CTRLA_ENABLE_Pos',['../component_2ac_8h.html#a6ca649f4703d6b341f61a8f9d7a679b9',1,'ac.h']]],
['ac_5fctrla_5fmask_19414',['AC_CTRLA_MASK',['../component_2ac_8h.html#a9825c7ce5b1a6de685e38859c9cd282d',1,'ac.h']]],
['ac_5fctrla_5foffset_19415',['AC_CTRLA_OFFSET',['../component_2ac_8h.html#a2b8253e09cb53fcb96e93f5d425a97b4',1,'ac.h']]],
['ac_5fctrla_5fresetvalue_19416',['AC_CTRLA_RESETVALUE',['../component_2ac_8h.html#ac3bf7345c9e6bd9c13b215f211805368',1,'ac.h']]],
['ac_5fctrla_5fswrst_5fpos_19417',['AC_CTRLA_SWRST_Pos',['../component_2ac_8h.html#ab2cf4a760261959d3e1b82e930e1bb98',1,'ac.h']]],
['ac_5fctrlb_5fmask_19418',['AC_CTRLB_MASK',['../component_2ac_8h.html#a877d20a90ea3bf5063d3465e511670bf',1,'ac.h']]],
['ac_5fctrlb_5foffset_19419',['AC_CTRLB_OFFSET',['../component_2ac_8h.html#a63f35a95c3a9ef7fa1664630f3831991',1,'ac.h']]],
['ac_5fctrlb_5fresetvalue_19420',['AC_CTRLB_RESETVALUE',['../component_2ac_8h.html#a50ffeb7cd3de2b4dda6da39ff8cae69e',1,'ac.h']]],
['ac_5fctrlb_5fstart0_5fpos_19421',['AC_CTRLB_START0_Pos',['../component_2ac_8h.html#a9fe01e62bcc1df5dd7eebbdae8e28ebb',1,'ac.h']]],
['ac_5fctrlb_5fstart1_5fpos_19422',['AC_CTRLB_START1_Pos',['../component_2ac_8h.html#a285cbdca40bedb61659d00dbb41a2d7f',1,'ac.h']]],
['ac_5fctrlb_5fstart_5fpos_19423',['AC_CTRLB_START_Pos',['../component_2ac_8h.html#a5699a352a32415762e7ae47f727c34e2',1,'ac.h']]],
['ac_5fdbgctrl_5fdbgrun_5fpos_19424',['AC_DBGCTRL_DBGRUN_Pos',['../component_2ac_8h.html#adbf4394f54e90e39f863e9c8662c0f5e',1,'ac.h']]],
['ac_5fdbgctrl_5fmask_19425',['AC_DBGCTRL_MASK',['../component_2ac_8h.html#aa892616a52af86d5390677c339647575',1,'ac.h']]],
['ac_5fdbgctrl_5foffset_19426',['AC_DBGCTRL_OFFSET',['../component_2ac_8h.html#a69363893cfacb917641cebeda802c472',1,'ac.h']]],
['ac_5fdbgctrl_5fresetvalue_19427',['AC_DBGCTRL_RESETVALUE',['../component_2ac_8h.html#a28fb1da99e1b90257b2781ccfa96444b',1,'ac.h']]],
['ac_5fevctrl_5fcompei0_5fpos_19428',['AC_EVCTRL_COMPEI0_Pos',['../component_2ac_8h.html#a586fb057733a1c4b9f7c81586a2f6854',1,'ac.h']]],
['ac_5fevctrl_5fcompei1_5fpos_19429',['AC_EVCTRL_COMPEI1_Pos',['../component_2ac_8h.html#a744dba4ce32648fec57677d38bcf2be5',1,'ac.h']]],
['ac_5fevctrl_5fcompei_5fpos_19430',['AC_EVCTRL_COMPEI_Pos',['../component_2ac_8h.html#a3ecb80c6d080322ab734ade29f0fb26e',1,'ac.h']]],
['ac_5fevctrl_5fcompeo0_5fpos_19431',['AC_EVCTRL_COMPEO0_Pos',['../component_2ac_8h.html#aa70b302d7f87b0f6d8ab915ca5b7bf8f',1,'ac.h']]],
['ac_5fevctrl_5fcompeo1_5fpos_19432',['AC_EVCTRL_COMPEO1_Pos',['../component_2ac_8h.html#a2a8ed3ce927004e2e47d23ece31c48be',1,'ac.h']]],
['ac_5fevctrl_5fcompeo_5fpos_19433',['AC_EVCTRL_COMPEO_Pos',['../component_2ac_8h.html#a9a60df9204a6150ee4ce9fc489666d9d',1,'ac.h']]],
['ac_5fevctrl_5finvei0_5fpos_19434',['AC_EVCTRL_INVEI0_Pos',['../component_2ac_8h.html#ac5b3dfa3ee04752686c12a34effd852b',1,'ac.h']]],
['ac_5fevctrl_5finvei1_5fpos_19435',['AC_EVCTRL_INVEI1_Pos',['../component_2ac_8h.html#a4f2994930271c93cb896b8370ef41b00',1,'ac.h']]],
['ac_5fevctrl_5finvei_5fpos_19436',['AC_EVCTRL_INVEI_Pos',['../component_2ac_8h.html#a59a28973d23bf2b2c04b3a9b6897674d',1,'ac.h']]],
['ac_5fevctrl_5fmask_19437',['AC_EVCTRL_MASK',['../component_2ac_8h.html#a6cdb3ec1006044a008363289c16bb6a5',1,'ac.h']]],
['ac_5fevctrl_5foffset_19438',['AC_EVCTRL_OFFSET',['../component_2ac_8h.html#a2084b522a096a69a32b82b24e5e56b35',1,'ac.h']]],
['ac_5fevctrl_5fresetvalue_19439',['AC_EVCTRL_RESETVALUE',['../component_2ac_8h.html#ada78dcdc73d5a68c8647ba0ec61004e3',1,'ac.h']]],
['ac_5fevctrl_5fwineo0_5fpos_19440',['AC_EVCTRL_WINEO0_Pos',['../component_2ac_8h.html#a0e39ab9106d32a5826c0b03c25f0f010',1,'ac.h']]],
['ac_5fevctrl_5fwineo_5fpos_19441',['AC_EVCTRL_WINEO_Pos',['../component_2ac_8h.html#a1afab4ba445e18743d95471ac63fc5f3',1,'ac.h']]],
['ac_5ffuses_5fbias0_5fpos_19442',['AC_FUSES_BIAS0_Pos',['../component_2nvmctrl_8h.html#a5966886514f72bc963ac96aa44f700ec',1,'nvmctrl.h']]],
['ac_5finst_5fnum_19443',['AC_INST_NUM',['../same54n19a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54p20a.h']]],
['ac_5finsts_19444',['AC_INSTS',['../same54n19a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54p20a.h']]],
['ac_5fintenclr_5fcomp0_5fpos_19445',['AC_INTENCLR_COMP0_Pos',['../component_2ac_8h.html#a4168c6a55362a465c232cdfae855edb6',1,'ac.h']]],
['ac_5fintenclr_5fcomp1_5fpos_19446',['AC_INTENCLR_COMP1_Pos',['../component_2ac_8h.html#ae1f585c9c3dfbbcbb14748de3d028334',1,'ac.h']]],
['ac_5fintenclr_5fcomp_5fpos_19447',['AC_INTENCLR_COMP_Pos',['../component_2ac_8h.html#a444b400feaaa1e25e0626635cfdf1144',1,'ac.h']]],
['ac_5fintenclr_5fmask_19448',['AC_INTENCLR_MASK',['../component_2ac_8h.html#a9c1df17ec7ebc764d76c0432181c94a2',1,'ac.h']]],
['ac_5fintenclr_5foffset_19449',['AC_INTENCLR_OFFSET',['../component_2ac_8h.html#ad6b968b57c8516a8820878fd349e0d3c',1,'ac.h']]],
['ac_5fintenclr_5fresetvalue_19450',['AC_INTENCLR_RESETVALUE',['../component_2ac_8h.html#aa39f9b02199c37ef041fc8e0d31e231d',1,'ac.h']]],
['ac_5fintenclr_5fwin0_5fpos_19451',['AC_INTENCLR_WIN0_Pos',['../component_2ac_8h.html#a8743138d490de623c23681827c5f9ed7',1,'ac.h']]],
['ac_5fintenclr_5fwin_5fpos_19452',['AC_INTENCLR_WIN_Pos',['../component_2ac_8h.html#a0db0a40e376eff9df159115da9de0920',1,'ac.h']]],
['ac_5fintenset_5fcomp0_5fpos_19453',['AC_INTENSET_COMP0_Pos',['../component_2ac_8h.html#a165b37facbcb1af2c424f505995a98c5',1,'ac.h']]],
['ac_5fintenset_5fcomp1_5fpos_19454',['AC_INTENSET_COMP1_Pos',['../component_2ac_8h.html#affb9e6ec2b081b05fe380f0a8bc71b03',1,'ac.h']]],
['ac_5fintenset_5fcomp_5fpos_19455',['AC_INTENSET_COMP_Pos',['../component_2ac_8h.html#a2b61c7a2be4d8dac26ce19d694a91b60',1,'ac.h']]],
['ac_5fintenset_5fmask_19456',['AC_INTENSET_MASK',['../component_2ac_8h.html#a6eb6eed878938f2a3a5dc2b0bc7c1cb7',1,'ac.h']]],
['ac_5fintenset_5foffset_19457',['AC_INTENSET_OFFSET',['../component_2ac_8h.html#ace22713b52e7875bc9d364ff71821f03',1,'ac.h']]],
['ac_5fintenset_5fresetvalue_19458',['AC_INTENSET_RESETVALUE',['../component_2ac_8h.html#a567ae9819bd9349410623b23b8cc04e8',1,'ac.h']]],
['ac_5fintenset_5fwin0_5fpos_19459',['AC_INTENSET_WIN0_Pos',['../component_2ac_8h.html#ac6e5dbfd1035305c4817fa3da1f1f338',1,'ac.h']]],
['ac_5fintenset_5fwin_5fpos_19460',['AC_INTENSET_WIN_Pos',['../component_2ac_8h.html#a4e44fa65ab29f61ec05f25c67c2f8f25',1,'ac.h']]],
['ac_5fintflag_5fcomp0_5fpos_19461',['AC_INTFLAG_COMP0_Pos',['../component_2ac_8h.html#abbdc400bbcac3a9c39d34452585974d1',1,'ac.h']]],
['ac_5fintflag_5fcomp1_5fpos_19462',['AC_INTFLAG_COMP1_Pos',['../component_2ac_8h.html#ab160a35daee4074f1fd5921b02c00acb',1,'ac.h']]],
['ac_5fintflag_5fcomp_5fpos_19463',['AC_INTFLAG_COMP_Pos',['../component_2ac_8h.html#a5ec6d9f6399298e5873a182d7c171cd6',1,'ac.h']]],
['ac_5fintflag_5fmask_19464',['AC_INTFLAG_MASK',['../component_2ac_8h.html#a3b147673fba8c46ce42c8825d397964b',1,'ac.h']]],
['ac_5fintflag_5foffset_19465',['AC_INTFLAG_OFFSET',['../component_2ac_8h.html#ae663a8f3156ac7555b109208126e6d66',1,'ac.h']]],
['ac_5fintflag_5fresetvalue_19466',['AC_INTFLAG_RESETVALUE',['../component_2ac_8h.html#ab2b31c52d59794ebe58100c5df360881',1,'ac.h']]],
['ac_5fintflag_5fwin0_5fpos_19467',['AC_INTFLAG_WIN0_Pos',['../component_2ac_8h.html#a9db46160e34e14edd76900fd5f8420f3',1,'ac.h']]],
['ac_5fintflag_5fwin_5fpos_19468',['AC_INTFLAG_WIN_Pos',['../component_2ac_8h.html#ab8feb07a41c8519472bce0d6b09d568d',1,'ac.h']]],
['ac_5fscaler_5fmask_19469',['AC_SCALER_MASK',['../component_2ac_8h.html#af91bd55c59e267338586cba14d252c31',1,'ac.h']]],
['ac_5fscaler_5foffset_19470',['AC_SCALER_OFFSET',['../component_2ac_8h.html#a35b0b56e4247a7e1de9e30ebb621cb3d',1,'ac.h']]],
['ac_5fscaler_5fresetvalue_19471',['AC_SCALER_RESETVALUE',['../component_2ac_8h.html#a42557feb56a587a53af8fcae1f738d4f',1,'ac.h']]],
['ac_5fscaler_5fvalue_5fpos_19472',['AC_SCALER_VALUE_Pos',['../component_2ac_8h.html#a1afb18c8a62012c570ed01f711dac8f4',1,'ac.h']]],
['ac_5fstatusa_5fmask_19473',['AC_STATUSA_MASK',['../component_2ac_8h.html#a85081ed7ee5f3dd1a625b6e4aff72be5',1,'ac.h']]],
['ac_5fstatusa_5foffset_19474',['AC_STATUSA_OFFSET',['../component_2ac_8h.html#a7357f0e4cff320ccdcfce8cfa4446590',1,'ac.h']]],
['ac_5fstatusa_5fresetvalue_19475',['AC_STATUSA_RESETVALUE',['../component_2ac_8h.html#a1d0c5a8f06130ee70400f50068aa162a',1,'ac.h']]],
['ac_5fstatusa_5fstate0_5fpos_19476',['AC_STATUSA_STATE0_Pos',['../component_2ac_8h.html#ae23aae3cd17aca60cfa3046da6f432c0',1,'ac.h']]],
['ac_5fstatusa_5fstate1_5fpos_19477',['AC_STATUSA_STATE1_Pos',['../component_2ac_8h.html#aa15668b5c57b09a6b65cab3c19bef8e5',1,'ac.h']]],
['ac_5fstatusa_5fstate_5fpos_19478',['AC_STATUSA_STATE_Pos',['../component_2ac_8h.html#ac205365550f29571c942656a16ca58f6',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fabove_5fval_19479',['AC_STATUSA_WSTATE0_ABOVE_Val',['../component_2ac_8h.html#a5d68a94c071ee73a4849497dfc57f3a0',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fbelow_5fval_19480',['AC_STATUSA_WSTATE0_BELOW_Val',['../component_2ac_8h.html#a7481f1780b3d9ee3f4bb5a35e63e4782',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5finside_5fval_19481',['AC_STATUSA_WSTATE0_INSIDE_Val',['../component_2ac_8h.html#a98fe4b3c6fd307062f3a7e2c5709bc78',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fpos_19482',['AC_STATUSA_WSTATE0_Pos',['../component_2ac_8h.html#a1339364deea09e22e0aa9d6cc65e9548',1,'ac.h']]],
['ac_5fstatusb_5fmask_19483',['AC_STATUSB_MASK',['../component_2ac_8h.html#a4e32c46f408ba606dc303acdd3e4e546',1,'ac.h']]],
['ac_5fstatusb_5foffset_19484',['AC_STATUSB_OFFSET',['../component_2ac_8h.html#a61e64bbbcd8d070e127dc143ac1badf7',1,'ac.h']]],
['ac_5fstatusb_5fready0_5fpos_19485',['AC_STATUSB_READY0_Pos',['../component_2ac_8h.html#a0d6d9dbdd414988aaf79e423983fc8a0',1,'ac.h']]],
['ac_5fstatusb_5fready1_5fpos_19486',['AC_STATUSB_READY1_Pos',['../component_2ac_8h.html#ac6991f3944dc31c5897b9fef7107b25d',1,'ac.h']]],
['ac_5fstatusb_5fready_5fpos_19487',['AC_STATUSB_READY_Pos',['../component_2ac_8h.html#a97f22583e9717be73effe4c24a88d435',1,'ac.h']]],
['ac_5fstatusb_5fresetvalue_19488',['AC_STATUSB_RESETVALUE',['../component_2ac_8h.html#a35348c0f09306ec32f756769f1c8544b',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl0_5fpos_19489',['AC_SYNCBUSY_COMPCTRL0_Pos',['../component_2ac_8h.html#ab453d4ad66ae224e4dc090f1b824d463',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl1_5fpos_19490',['AC_SYNCBUSY_COMPCTRL1_Pos',['../component_2ac_8h.html#a8adb9efe1160b8939c9c7dcdb13b97d8',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl_5fpos_19491',['AC_SYNCBUSY_COMPCTRL_Pos',['../component_2ac_8h.html#abc5074f82b91baf62ff2b8ab4a6ab5f1',1,'ac.h']]],
['ac_5fsyncbusy_5fenable_5fpos_19492',['AC_SYNCBUSY_ENABLE_Pos',['../component_2ac_8h.html#af1545b69ee527353f1cf98de43c70ce0',1,'ac.h']]],
['ac_5fsyncbusy_5fmask_19493',['AC_SYNCBUSY_MASK',['../component_2ac_8h.html#a70886b642d59dc0d984049d60ba72fbf',1,'ac.h']]],
['ac_5fsyncbusy_5foffset_19494',['AC_SYNCBUSY_OFFSET',['../component_2ac_8h.html#a1df5236f5d319dd7f69d24c1513d8040',1,'ac.h']]],
['ac_5fsyncbusy_5fresetvalue_19495',['AC_SYNCBUSY_RESETVALUE',['../component_2ac_8h.html#a9042c602ca89a85a0bab56483064837b',1,'ac.h']]],
['ac_5fsyncbusy_5fswrst_5fpos_19496',['AC_SYNCBUSY_SWRST_Pos',['../component_2ac_8h.html#a115ebb8e897e6d11abcba20bd23e73f4',1,'ac.h']]],
['ac_5fsyncbusy_5fwinctrl_5fpos_19497',['AC_SYNCBUSY_WINCTRL_Pos',['../component_2ac_8h.html#a60c112d3aebed9fcf80f298bdadb6ff0',1,'ac.h']]],
['ac_5fwinctrl_5fmask_19498',['AC_WINCTRL_MASK',['../component_2ac_8h.html#af99df28572c59d0f3105b754519a1db6',1,'ac.h']]],
['ac_5fwinctrl_5foffset_19499',['AC_WINCTRL_OFFSET',['../component_2ac_8h.html#a7075f883b140c498d62e6de94e22f975',1,'ac.h']]],
['ac_5fwinctrl_5fresetvalue_19500',['AC_WINCTRL_RESETVALUE',['../component_2ac_8h.html#aef191946603b0aebaf28aebcc5331ce8',1,'ac.h']]],
['ac_5fwinctrl_5fwen0_5fpos_19501',['AC_WINCTRL_WEN0_Pos',['../component_2ac_8h.html#ab5ded8b8be62ba307c7df588787f6754',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fabove_5fval_19502',['AC_WINCTRL_WINTSEL0_ABOVE_Val',['../component_2ac_8h.html#a4e693526712b2cfcabdab157f1f8be71',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fbelow_5fval_19503',['AC_WINCTRL_WINTSEL0_BELOW_Val',['../component_2ac_8h.html#ab989630a135aae7f20d8d1161fae744a',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5finside_5fval_19504',['AC_WINCTRL_WINTSEL0_INSIDE_Val',['../component_2ac_8h.html#a68bafe85eeb71ce0ce83d48a51cb95f6',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5foutside_5fval_19505',['AC_WINCTRL_WINTSEL0_OUTSIDE_Val',['../component_2ac_8h.html#a75d730dac04d0f2fdf146b55d1fe4b7f',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fpos_19506',['AC_WINCTRL_WINTSEL0_Pos',['../component_2ac_8h.html#af7bc05aa7122fff6ea05ae690cbc8486',1,'ac.h']]],
['adc0_19507',['ADC0',['../same54n19a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54p20a.h']]],
['adc0_5ffuses_5fbiascomp_5fpos_19508',['ADC0_FUSES_BIASCOMP_Pos',['../component_2nvmctrl_8h.html#a23f06473d98a6f1044ab03c3b205182f',1,'nvmctrl.h']]],
['adc0_5ffuses_5fbiasr2r_5fpos_19509',['ADC0_FUSES_BIASR2R_Pos',['../component_2nvmctrl_8h.html#a2d64b2e863cabe1d67537a6533a341d3',1,'nvmctrl.h']]],
['adc0_5ffuses_5fbiasrefbuf_5fpos_19510',['ADC0_FUSES_BIASREFBUF_Pos',['../component_2nvmctrl_8h.html#a632bce9230a48abd3a005a676c2eda03',1,'nvmctrl.h']]],
['adc1_19511',['ADC1',['../same54n19a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54p20a.h']]],
['adc1_5ffuses_5fbiascomp_5fpos_19512',['ADC1_FUSES_BIASCOMP_Pos',['../component_2nvmctrl_8h.html#aa64e21a2c31684e928325e62c257ee9c',1,'nvmctrl.h']]],
['adc1_5ffuses_5fbiasr2r_5fpos_19513',['ADC1_FUSES_BIASR2R_Pos',['../component_2nvmctrl_8h.html#ab3bb39abdafff084802ff74a381f48cb',1,'nvmctrl.h']]],
['adc1_5ffuses_5fbiasrefbuf_5fpos_19514',['ADC1_FUSES_BIASREFBUF_Pos',['../component_2nvmctrl_8h.html#a78e01af139e070f4415e1827575a3c45',1,'nvmctrl.h']]],
['adc_5favgctrl_5fadjres_5fpos_19515',['ADC_AVGCTRL_ADJRES_Pos',['../adc_8h.html#ab08addc2799796177814fb3f44ce4917',1,'adc.h']]],
['adc_5favgctrl_5fmask_19516',['ADC_AVGCTRL_MASK',['../adc_8h.html#aaca1e22417099084fd839634334c75d0',1,'adc.h']]],
['adc_5favgctrl_5foffset_19517',['ADC_AVGCTRL_OFFSET',['../adc_8h.html#a360fdef32b21cb1a277faa31db6996fd',1,'adc.h']]],
['adc_5favgctrl_5fresetvalue_19518',['ADC_AVGCTRL_RESETVALUE',['../adc_8h.html#a8bf6910b7cb768949d87054bef54fc89',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f1024_5fval_19519',['ADC_AVGCTRL_SAMPLENUM_1024_Val',['../adc_8h.html#a9afcd3280148e12b17f74ac9ef25ff1c',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f128_5fval_19520',['ADC_AVGCTRL_SAMPLENUM_128_Val',['../adc_8h.html#afd0a9b19d5e189c78725643a1cd6fd62',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f16_5fval_19521',['ADC_AVGCTRL_SAMPLENUM_16_Val',['../adc_8h.html#a5edfb4fb05fa1c6854f3bf7e1be6f325',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f1_5fval_19522',['ADC_AVGCTRL_SAMPLENUM_1_Val',['../adc_8h.html#a9e70c7b0cd6dbf66fd9a26c9f664c094',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f256_5fval_19523',['ADC_AVGCTRL_SAMPLENUM_256_Val',['../adc_8h.html#a7576edd68ace767f66faf2f7a1541565',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f2_5fval_19524',['ADC_AVGCTRL_SAMPLENUM_2_Val',['../adc_8h.html#a02a97329331932ee77e50645ba2af513',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f32_5fval_19525',['ADC_AVGCTRL_SAMPLENUM_32_Val',['../adc_8h.html#ac9caa4b313c212c56aa881082f2e37c9',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f4_5fval_19526',['ADC_AVGCTRL_SAMPLENUM_4_Val',['../adc_8h.html#a2a0b01d83c38d590419a36959b3bb785',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f512_5fval_19527',['ADC_AVGCTRL_SAMPLENUM_512_Val',['../adc_8h.html#a7ce78bef6c6d6f18e696b10aae7d9dca',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f64_5fval_19528',['ADC_AVGCTRL_SAMPLENUM_64_Val',['../adc_8h.html#aa681a5f11f5f2c7147f8471caa9a1789',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f8_5fval_19529',['ADC_AVGCTRL_SAMPLENUM_8_Val',['../adc_8h.html#accc8c475aeda72ace654784ec4586bf3',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5fpos_19530',['ADC_AVGCTRL_SAMPLENUM_Pos',['../adc_8h.html#ae24ef894295278762f9293105f06ffb9',1,'adc.h']]],
['adc_5fcalib_5fbiascomp_5fpos_19531',['ADC_CALIB_BIASCOMP_Pos',['../adc_8h.html#a4d553dddf257f8d08957fe6f01e3669c',1,'adc.h']]],
['adc_5fcalib_5fbiasr2r_5fpos_19532',['ADC_CALIB_BIASR2R_Pos',['../adc_8h.html#a470f0397109ae8df715f4e9e6d946993',1,'adc.h']]],
['adc_5fcalib_5fbiasrefbuf_5fpos_19533',['ADC_CALIB_BIASREFBUF_Pos',['../adc_8h.html#a29eeca0ab642341d2cd5cebb287f47d0',1,'adc.h']]],
['adc_5fcalib_5fmask_19534',['ADC_CALIB_MASK',['../adc_8h.html#a6aee42d2c5e635f59f6e3df40bb9152e',1,'adc.h']]],
['adc_5fcalib_5foffset_19535',['ADC_CALIB_OFFSET',['../adc_8h.html#a446711ba379074fa1f2f5c844b4d1b09',1,'adc.h']]],
['adc_5fcalib_5fresetvalue_19536',['ADC_CALIB_RESETVALUE',['../adc_8h.html#a67180783b7377e1164e72a05423df707',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5fboth_5fval_19537',['ADC_CTRLA_DUALSEL_BOTH_Val',['../adc_8h.html#a2d498c0a65816bbaade2f26cb96f3ebe',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5finterleave_5fval_19538',['ADC_CTRLA_DUALSEL_INTERLEAVE_Val',['../adc_8h.html#abb4b866b3806c3163dd5bf56c542d0b1',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5fpos_19539',['ADC_CTRLA_DUALSEL_Pos',['../adc_8h.html#abc1b5f0a540b8d4c1abd2a1c7274e65f',1,'adc.h']]],
['adc_5fctrla_5fenable_5fpos_19540',['ADC_CTRLA_ENABLE_Pos',['../adc_8h.html#ac82ee67f4cbe73c614e78ac5789daa88',1,'adc.h']]],
['adc_5fctrla_5fmask_19541',['ADC_CTRLA_MASK',['../adc_8h.html#a27d2475024ff104bf51f4575cdbbe276',1,'adc.h']]],
['adc_5fctrla_5foffset_19542',['ADC_CTRLA_OFFSET',['../adc_8h.html#a6ecd1e22b3acb8e29dbc4707a32dbbe7',1,'adc.h']]],
['adc_5fctrla_5fondemand_5fpos_19543',['ADC_CTRLA_ONDEMAND_Pos',['../adc_8h.html#a90000aea0ff9245e1980ca9680a78e5a',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv128_5fval_19544',['ADC_CTRLA_PRESCALER_DIV128_Val',['../adc_8h.html#a658c68dc4b620e2188d8fc3eeb66ae48',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv16_5fval_19545',['ADC_CTRLA_PRESCALER_DIV16_Val',['../adc_8h.html#afe10349fa5c47f3c562527aae02108fe',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv256_5fval_19546',['ADC_CTRLA_PRESCALER_DIV256_Val',['../adc_8h.html#a1b4c8fd3341bd1c42860ecd12718a416',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv2_5fval_19547',['ADC_CTRLA_PRESCALER_DIV2_Val',['../adc_8h.html#af955a31af47d32dcffe5e00beb891e97',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv32_5fval_19548',['ADC_CTRLA_PRESCALER_DIV32_Val',['../adc_8h.html#ae960af5a9b9d6c97f56e413bfa639edb',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv4_5fval_19549',['ADC_CTRLA_PRESCALER_DIV4_Val',['../adc_8h.html#a481eb4203a95811d7eec4920f2afe305',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv64_5fval_19550',['ADC_CTRLA_PRESCALER_DIV64_Val',['../adc_8h.html#a367bac0f454d780cc249624c95bbc94b',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv8_5fval_19551',['ADC_CTRLA_PRESCALER_DIV8_Val',['../adc_8h.html#abc3878ebf83b3cae7e654f2c5972a7a8',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fpos_19552',['ADC_CTRLA_PRESCALER_Pos',['../adc_8h.html#a99cb7ced4a39c1a695348a6e8634b103',1,'adc.h']]],
['adc_5fctrla_5fr2r_5fpos_19553',['ADC_CTRLA_R2R_Pos',['../adc_8h.html#a770e72c78b0266c9ea31a2f1761b27ad',1,'adc.h']]],
['adc_5fctrla_5fresetvalue_19554',['ADC_CTRLA_RESETVALUE',['../adc_8h.html#a3d883ad1cad4255a6d5d789742d28e9f',1,'adc.h']]],
['adc_5fctrla_5frunstdby_5fpos_19555',['ADC_CTRLA_RUNSTDBY_Pos',['../adc_8h.html#a976523f3fa62e81cab8eff5acd00b190',1,'adc.h']]],
['adc_5fctrla_5fslaveen_5fpos_19556',['ADC_CTRLA_SLAVEEN_Pos',['../adc_8h.html#ae8a13bd67a942534971f0ecc9a6eac83',1,'adc.h']]],
['adc_5fctrla_5fswrst_5fpos_19557',['ADC_CTRLA_SWRST_Pos',['../adc_8h.html#af8ef9401077365b9f9fe6f89c96efab8',1,'adc.h']]],
['adc_5fctrlb_5fcorren_5fpos_19558',['ADC_CTRLB_CORREN_Pos',['../adc_8h.html#afce773afb07efbdd9189557974f518b0',1,'adc.h']]],
['adc_5fctrlb_5ffreerun_5fpos_19559',['ADC_CTRLB_FREERUN_Pos',['../adc_8h.html#a931ce86849e963e3d1baf034687fb7d3',1,'adc.h']]],
['adc_5fctrlb_5fleftadj_5fpos_19560',['ADC_CTRLB_LEFTADJ_Pos',['../adc_8h.html#afdbced27cf9197b2d4933d71a71740c8',1,'adc.h']]],
['adc_5fctrlb_5fmask_19561',['ADC_CTRLB_MASK',['../adc_8h.html#a6faca029aa90801c148382cd602fd85c',1,'adc.h']]],
['adc_5fctrlb_5foffset_19562',['ADC_CTRLB_OFFSET',['../adc_8h.html#aa53e5615c9d9951bc7efb464caf48d1f',1,'adc.h']]],
['adc_5fctrlb_5fresetvalue_19563',['ADC_CTRLB_RESETVALUE',['../adc_8h.html#a05bc133f816637a15b503b70679f6798',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f10bit_5fval_19564',['ADC_CTRLB_RESSEL_10BIT_Val',['../adc_8h.html#a7aae19c0d8276b179805578feb8b24da',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f12bit_5fval_19565',['ADC_CTRLB_RESSEL_12BIT_Val',['../adc_8h.html#a31cc5b803dcceafdd124477c67b10145',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f16bit_5fval_19566',['ADC_CTRLB_RESSEL_16BIT_Val',['../adc_8h.html#adbd375de856d42b6279963f6f10c7697',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f8bit_5fval_19567',['ADC_CTRLB_RESSEL_8BIT_Val',['../adc_8h.html#a7cdc63d688db409cee2d5d0d2469f7e0',1,'adc.h']]],
['adc_5fctrlb_5fressel_5fpos_19568',['ADC_CTRLB_RESSEL_Pos',['../adc_8h.html#af76b4d68e2a72e598663c5de37790510',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fdisable_5fval_19569',['ADC_CTRLB_WINMODE_DISABLE_Val',['../adc_8h.html#a658eeab8b3fdca08387707bd8ee571bf',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode1_5fval_19570',['ADC_CTRLB_WINMODE_MODE1_Val',['../adc_8h.html#a21dabd662945436c540d8caaccb59a40',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode2_5fval_19571',['ADC_CTRLB_WINMODE_MODE2_Val',['../adc_8h.html#ab99cb5c14d2266f53fac81ee0f24ce70',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode3_5fval_19572',['ADC_CTRLB_WINMODE_MODE3_Val',['../adc_8h.html#abd89c00362908b7175badba06b4a3fbb',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode4_5fval_19573',['ADC_CTRLB_WINMODE_MODE4_Val',['../adc_8h.html#a2a461c8f0753f4e00b2efba4d620fb7c',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fpos_19574',['ADC_CTRLB_WINMODE_Pos',['../adc_8h.html#a170f7b3da7b53881cf067a6ef903c15a',1,'adc.h']]],
['adc_5fctrlb_5fwinss_5fpos_19575',['ADC_CTRLB_WINSS_Pos',['../adc_8h.html#a98ec31e56862e2aa0718e6062bd82f73',1,'adc.h']]],
['adc_5fdbgctrl_5fdbgrun_5fpos_19576',['ADC_DBGCTRL_DBGRUN_Pos',['../adc_8h.html#a6efae94a5c4156ab6bddff706917eca0',1,'adc.h']]],
['adc_5fdbgctrl_5fmask_19577',['ADC_DBGCTRL_MASK',['../adc_8h.html#ab25e0ee29c51fdfc76ba7fc828fd5c0a',1,'adc.h']]],
['adc_5fdbgctrl_5foffset_19578',['ADC_DBGCTRL_OFFSET',['../adc_8h.html#a4e4a609b23ab0bec015d436e2abf67fe',1,'adc.h']]],
['adc_5fdbgctrl_5fresetvalue_19579',['ADC_DBGCTRL_RESETVALUE',['../adc_8h.html#a55a5b2cca3961a9c17812caed2bcbe5a',1,'adc.h']]],
['adc_5fdseqctrl_5fautostart_5fpos_19580',['ADC_DSEQCTRL_AUTOSTART_Pos',['../adc_8h.html#a1f41913201414adc4cb404b44d38c2c9',1,'adc.h']]],
['adc_5fdseqctrl_5favgctrl_5fpos_19581',['ADC_DSEQCTRL_AVGCTRL_Pos',['../adc_8h.html#a612e374887ff6d452e5c44baab29a42f',1,'adc.h']]],
['adc_5fdseqctrl_5fctrlb_5fpos_19582',['ADC_DSEQCTRL_CTRLB_Pos',['../adc_8h.html#ac88baf1e90589ba4ed18eea606829803',1,'adc.h']]],
['adc_5fdseqctrl_5fgaincorr_5fpos_19583',['ADC_DSEQCTRL_GAINCORR_Pos',['../adc_8h.html#a42cc552c6091a228e7f5aaca043ebd71',1,'adc.h']]],
['adc_5fdseqctrl_5finputctrl_5fpos_19584',['ADC_DSEQCTRL_INPUTCTRL_Pos',['../adc_8h.html#a7dfc5aa4f6bb6fb4b087671e916779dd',1,'adc.h']]],
['adc_5fdseqctrl_5fmask_19585',['ADC_DSEQCTRL_MASK',['../adc_8h.html#a70f8576278a0962f7f3f546cc310bd7d',1,'adc.h']]],
['adc_5fdseqctrl_5foffset_19586',['ADC_DSEQCTRL_OFFSET',['../adc_8h.html#afe98f9ee73b667ae7d2c7587a96bebc5',1,'adc.h']]],
['adc_5fdseqctrl_5foffsetcorr_5fpos_19587',['ADC_DSEQCTRL_OFFSETCORR_Pos',['../adc_8h.html#aa775fff6e3a7ceeea00cb5eb7d8bd9ad',1,'adc.h']]],
['adc_5fdseqctrl_5frefctrl_5fpos_19588',['ADC_DSEQCTRL_REFCTRL_Pos',['../adc_8h.html#ad5de1b5df4faae7e07f84a4ad562c0bd',1,'adc.h']]],
['adc_5fdseqctrl_5fresetvalue_19589',['ADC_DSEQCTRL_RESETVALUE',['../adc_8h.html#a37172feda3ee418315e3634b760aae4c',1,'adc.h']]],
['adc_5fdseqctrl_5fsampctrl_5fpos_19590',['ADC_DSEQCTRL_SAMPCTRL_Pos',['../adc_8h.html#a1f1736e5532721fb186e11ca0d1f38f8',1,'adc.h']]],
['adc_5fdseqctrl_5fwinlt_5fpos_19591',['ADC_DSEQCTRL_WINLT_Pos',['../adc_8h.html#a0ef74b3be2f0b1eae0a6f7e048ab7f85',1,'adc.h']]],
['adc_5fdseqctrl_5fwinut_5fpos_19592',['ADC_DSEQCTRL_WINUT_Pos',['../adc_8h.html#a14e25745ebcc58cb742d759d7f2f3a2d',1,'adc.h']]],
['adc_5fdseqdata_5fdata_5fpos_19593',['ADC_DSEQDATA_DATA_Pos',['../adc_8h.html#ac4c13e48dde601432d560bd36d620475',1,'adc.h']]],
['adc_5fdseqdata_5fmask_19594',['ADC_DSEQDATA_MASK',['../adc_8h.html#a1717d451eae3584ef741af2abebe1867',1,'adc.h']]],
['adc_5fdseqdata_5foffset_19595',['ADC_DSEQDATA_OFFSET',['../adc_8h.html#a38751aabfdc06b807eed660f6a763bc6',1,'adc.h']]],
['adc_5fdseqdata_5fresetvalue_19596',['ADC_DSEQDATA_RESETVALUE',['../adc_8h.html#a7228ba2525f35dac60a83d958c282bc5',1,'adc.h']]],
['adc_5fdseqstat_5favgctrl_5fpos_19597',['ADC_DSEQSTAT_AVGCTRL_Pos',['../adc_8h.html#a749bc91d885a29b77e0a561b2cd64c84',1,'adc.h']]],
['adc_5fdseqstat_5fbusy_5fpos_19598',['ADC_DSEQSTAT_BUSY_Pos',['../adc_8h.html#adfe15440f5f46ab58f4328c1bbec25d8',1,'adc.h']]],
['adc_5fdseqstat_5fctrlb_5fpos_19599',['ADC_DSEQSTAT_CTRLB_Pos',['../adc_8h.html#a67092dff10117dd35830cbef32e26903',1,'adc.h']]],
['adc_5fdseqstat_5fgaincorr_5fpos_19600',['ADC_DSEQSTAT_GAINCORR_Pos',['../adc_8h.html#a9e07765c28810991235749171da6e66a',1,'adc.h']]],
['adc_5fdseqstat_5finputctrl_5fpos_19601',['ADC_DSEQSTAT_INPUTCTRL_Pos',['../adc_8h.html#ad737c0d0bde4e41041323b1e21af9487',1,'adc.h']]],
['adc_5fdseqstat_5fmask_19602',['ADC_DSEQSTAT_MASK',['../adc_8h.html#a9c78af316e7091ccc90d83806d01ea5a',1,'adc.h']]],
['adc_5fdseqstat_5foffset_19603',['ADC_DSEQSTAT_OFFSET',['../adc_8h.html#ac8ad5b15bd1e8b15a316478481a610f7',1,'adc.h']]],
['adc_5fdseqstat_5foffsetcorr_5fpos_19604',['ADC_DSEQSTAT_OFFSETCORR_Pos',['../adc_8h.html#af9be7d93fc616c7e84864b27b92ff4c3',1,'adc.h']]],
['adc_5fdseqstat_5frefctrl_5fpos_19605',['ADC_DSEQSTAT_REFCTRL_Pos',['../adc_8h.html#a9395559e969e9ad475ca1e0e6cb875dc',1,'adc.h']]],
['adc_5fdseqstat_5fresetvalue_19606',['ADC_DSEQSTAT_RESETVALUE',['../adc_8h.html#a004f4b46302e058a555e7803b3e50140',1,'adc.h']]],
['adc_5fdseqstat_5fsampctrl_5fpos_19607',['ADC_DSEQSTAT_SAMPCTRL_Pos',['../adc_8h.html#a6e51ca3a1faf7a099b7800018b0f5c22',1,'adc.h']]],
['adc_5fdseqstat_5fwinlt_5fpos_19608',['ADC_DSEQSTAT_WINLT_Pos',['../adc_8h.html#acb4beba10aae2138fcda74d01c2c474d',1,'adc.h']]],
['adc_5fdseqstat_5fwinut_5fpos_19609',['ADC_DSEQSTAT_WINUT_Pos',['../adc_8h.html#afde5fb070e4cc92961ea0577aca29f8e',1,'adc.h']]],
['adc_5fevctrl_5fflushei_5fpos_19610',['ADC_EVCTRL_FLUSHEI_Pos',['../adc_8h.html#a7e43c435c6e972cd3d1ba58929fa98dc',1,'adc.h']]],
['adc_5fevctrl_5fflushinv_5fpos_19611',['ADC_EVCTRL_FLUSHINV_Pos',['../adc_8h.html#a9d5e64bc7d34bbf04f17078d1d0912af',1,'adc.h']]],
['adc_5fevctrl_5fmask_19612',['ADC_EVCTRL_MASK',['../adc_8h.html#a3163598754fcfe1101f38627982c882f',1,'adc.h']]],
['adc_5fevctrl_5foffset_19613',['ADC_EVCTRL_OFFSET',['../adc_8h.html#ac21857646620ffdd08e5e8b06a428bcb',1,'adc.h']]],
['adc_5fevctrl_5fresetvalue_19614',['ADC_EVCTRL_RESETVALUE',['../adc_8h.html#aeac5f216df63e8624c483526993c013c',1,'adc.h']]],
['adc_5fevctrl_5fresrdyeo_5fpos_19615',['ADC_EVCTRL_RESRDYEO_Pos',['../adc_8h.html#a20243ed239f2fe8a42ad79d1d8414415',1,'adc.h']]],
['adc_5fevctrl_5fstartei_5fpos_19616',['ADC_EVCTRL_STARTEI_Pos',['../adc_8h.html#af92080afb69dadfd33cc3d2b155a966b',1,'adc.h']]],
['adc_5fevctrl_5fstartinv_5fpos_19617',['ADC_EVCTRL_STARTINV_Pos',['../adc_8h.html#afb19da28a4e8484bb02d42e69c09c664',1,'adc.h']]],
['adc_5fevctrl_5fwinmoneo_5fpos_19618',['ADC_EVCTRL_WINMONEO_Pos',['../adc_8h.html#aee6cc66f7667c52d0f0166c26fb61ac5',1,'adc.h']]],
['adc_5fgaincorr_5fgaincorr_5fpos_19619',['ADC_GAINCORR_GAINCORR_Pos',['../adc_8h.html#a1d8e6acd56583b4ba138c3493f455467',1,'adc.h']]],
['adc_5fgaincorr_5fmask_19620',['ADC_GAINCORR_MASK',['../adc_8h.html#a952f9f90ebf26fde618e26e5cd400223',1,'adc.h']]],
['adc_5fgaincorr_5foffset_19621',['ADC_GAINCORR_OFFSET',['../adc_8h.html#a3a08e951226da91279bab7223313a03f',1,'adc.h']]],
['adc_5fgaincorr_5fresetvalue_19622',['ADC_GAINCORR_RESETVALUE',['../adc_8h.html#aa8f7dea45349053a7d05f0b61e9a867f',1,'adc.h']]],
['adc_5finputctrl_5fdiffmode_5fpos_19623',['ADC_INPUTCTRL_DIFFMODE_Pos',['../adc_8h.html#a54c56f06861573dce4b7c8f93ae744eb',1,'adc.h']]],
['adc_5finputctrl_5fdseqstop_5fpos_19624',['ADC_INPUTCTRL_DSEQSTOP_Pos',['../adc_8h.html#a627250e74bfa3475a9516447938f8064',1,'adc.h']]],
['adc_5finputctrl_5fmask_19625',['ADC_INPUTCTRL_MASK',['../adc_8h.html#a05f6805f807d0dac42fcaba65dcc8a41',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain0_5fval_19626',['ADC_INPUTCTRL_MUXNEG_AIN0_Val',['../adc_8h.html#a867dfa8f9e6a66a7a2ea43d6dbd13764',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain1_5fval_19627',['ADC_INPUTCTRL_MUXNEG_AIN1_Val',['../adc_8h.html#a65c4031252e29b801ab725e831297c7f',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain2_5fval_19628',['ADC_INPUTCTRL_MUXNEG_AIN2_Val',['../adc_8h.html#a09e7cbeacb0e375e6e11b4b411581ab2',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain3_5fval_19629',['ADC_INPUTCTRL_MUXNEG_AIN3_Val',['../adc_8h.html#a7e31bc15fe6f1320232a1c6e96082094',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain4_5fval_19630',['ADC_INPUTCTRL_MUXNEG_AIN4_Val',['../adc_8h.html#a152c475597bce7f68aaf5844e5c9c1b2',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain5_5fval_19631',['ADC_INPUTCTRL_MUXNEG_AIN5_Val',['../adc_8h.html#a69a5997a4dfb766d498952d9d402d288',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain6_5fval_19632',['ADC_INPUTCTRL_MUXNEG_AIN6_Val',['../adc_8h.html#a879062c4bac98ffbe47d11665f9e978a',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain7_5fval_19633',['ADC_INPUTCTRL_MUXNEG_AIN7_Val',['../adc_8h.html#a95bc808b5e5ac3789c0fdf6c9b9e01b0',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fgnd_5fval_19634',['ADC_INPUTCTRL_MUXNEG_GND_Val',['../adc_8h.html#ae6ea3ff05c2eb05f70ec06ee544fe1d1',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fpos_19635',['ADC_INPUTCTRL_MUXNEG_Pos',['../adc_8h.html#a47f933ef105812dd56ccffab8f7a0877',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain0_5fval_19636',['ADC_INPUTCTRL_MUXPOS_AIN0_Val',['../adc_8h.html#a435301c77fea1abf66a3b77a1150cfbe',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain10_5fval_19637',['ADC_INPUTCTRL_MUXPOS_AIN10_Val',['../adc_8h.html#ab884f040492006360c328164cfae72a3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain11_5fval_19638',['ADC_INPUTCTRL_MUXPOS_AIN11_Val',['../adc_8h.html#a0e221af1407d390a01a75b42e38b2e0c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain12_5fval_19639',['ADC_INPUTCTRL_MUXPOS_AIN12_Val',['../adc_8h.html#a458774096cef14109980fca96a7ceb66',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain13_5fval_19640',['ADC_INPUTCTRL_MUXPOS_AIN13_Val',['../adc_8h.html#a152571a42b1857c123bf55d3204ac530',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain14_5fval_19641',['ADC_INPUTCTRL_MUXPOS_AIN14_Val',['../adc_8h.html#a6ca65f998850d5f1f86cf8edbc3b4d31',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain15_5fval_19642',['ADC_INPUTCTRL_MUXPOS_AIN15_Val',['../adc_8h.html#a59027c13e656f2325c09c8f21a6abfd7',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain16_5fval_19643',['ADC_INPUTCTRL_MUXPOS_AIN16_Val',['../adc_8h.html#a34709dc7786fc55236c1b307ea2fe5fe',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain17_5fval_19644',['ADC_INPUTCTRL_MUXPOS_AIN17_Val',['../adc_8h.html#ab025b09d10197f292b71759e1921c271',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain18_5fval_19645',['ADC_INPUTCTRL_MUXPOS_AIN18_Val',['../adc_8h.html#a72f8781f2eadc5d8d9f844bfae90b8b9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain19_5fval_19646',['ADC_INPUTCTRL_MUXPOS_AIN19_Val',['../adc_8h.html#a135578a3e87f1618a959c85357e4a94f',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain1_5fval_19647',['ADC_INPUTCTRL_MUXPOS_AIN1_Val',['../adc_8h.html#a2687e7ffb68e6da887146f2c62c18f6b',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain20_5fval_19648',['ADC_INPUTCTRL_MUXPOS_AIN20_Val',['../adc_8h.html#a26a5a3d36d0375f971b3261de71af223',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain21_5fval_19649',['ADC_INPUTCTRL_MUXPOS_AIN21_Val',['../adc_8h.html#a43e2078e0fc1fded2d257c6ed7a2de77',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain22_5fval_19650',['ADC_INPUTCTRL_MUXPOS_AIN22_Val',['../adc_8h.html#a3e6d02357fe37cd29c32bb6f9e8fa2b9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain23_5fval_19651',['ADC_INPUTCTRL_MUXPOS_AIN23_Val',['../adc_8h.html#a647cce884be7f43f6afafb7b93417ce3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain2_5fval_19652',['ADC_INPUTCTRL_MUXPOS_AIN2_Val',['../adc_8h.html#acc4be6c554e9992353f7cfc97612ad3c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain3_5fval_19653',['ADC_INPUTCTRL_MUXPOS_AIN3_Val',['../adc_8h.html#a251c9c1e052523310d09b1acc78e78ac',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain4_5fval_19654',['ADC_INPUTCTRL_MUXPOS_AIN4_Val',['../adc_8h.html#a7f3a2f08ed3b07f84a8c33674b878797',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain5_5fval_19655',['ADC_INPUTCTRL_MUXPOS_AIN5_Val',['../adc_8h.html#a5114a1b1855bcb59f3f85d84bc010f6a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain6_5fval_19656',['ADC_INPUTCTRL_MUXPOS_AIN6_Val',['../adc_8h.html#a1665dbaf7d7c4b25c646195814e52827',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain7_5fval_19657',['ADC_INPUTCTRL_MUXPOS_AIN7_Val',['../adc_8h.html#a225a4cbb07c063427f5b635c1db6655a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain8_5fval_19658',['ADC_INPUTCTRL_MUXPOS_AIN8_Val',['../adc_8h.html#a2aee985fdfcb864f7d18c60c09c352e8',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain9_5fval_19659',['ADC_INPUTCTRL_MUXPOS_AIN9_Val',['../adc_8h.html#a6ca797b644abff9b69cfa7b34f6129e6',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fbandgap_5fval_19660',['ADC_INPUTCTRL_MUXPOS_BANDGAP_Val',['../adc_8h.html#a06e3489e60e6b9a147d334178bc54804',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fctat_5fval_19661',['ADC_INPUTCTRL_MUXPOS_CTAT_Val',['../adc_8h.html#a953ac75b34903bd179d9e0d5a74fcb94',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fdac_5fval_19662',['ADC_INPUTCTRL_MUXPOS_DAC_Val',['../adc_8h.html#a3dcf66ff42fdb4efc566c4790b431ed9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fpos_19663',['ADC_INPUTCTRL_MUXPOS_Pos',['../adc_8h.html#a583868be285e1c06c9a93dfd552d8c6a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fptat_5fval_19664',['ADC_INPUTCTRL_MUXPOS_PTAT_Val',['../adc_8h.html#a992edb598f19f49d0155c82a6e54a15c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fptc_5fval_19665',['ADC_INPUTCTRL_MUXPOS_PTC_Val',['../adc_8h.html#a7f4473612d7694a2cd4c4312fa3a63cc',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscaledcorevcc_5fval_19666',['ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC_Val',['../adc_8h.html#a9d77bb05e49f1e56dce22cdbeda42df3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscalediovcc_5fval_19667',['ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC_Val',['../adc_8h.html#a3d2c77a183f5a2294d33f2bbc77fa637',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscaledvbat_5fval_19668',['ADC_INPUTCTRL_MUXPOS_SCALEDVBAT_Val',['../adc_8h.html#aab9c614fcc1fd111292116ba7f0e049d',1,'adc.h']]],
['adc_5finputctrl_5foffset_19669',['ADC_INPUTCTRL_OFFSET',['../adc_8h.html#a9692ff2e0c84bb47a64ed6704defc86c',1,'adc.h']]],
['adc_5finputctrl_5fresetvalue_19670',['ADC_INPUTCTRL_RESETVALUE',['../adc_8h.html#a91cf2e939a7345b5a26ff492a176f647',1,'adc.h']]],
['adc_5finst_5fnum_19671',['ADC_INST_NUM',['../same54n19a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54p20a.h']]],
['adc_5finsts_19672',['ADC_INSTS',['../same54n19a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54p20a.h']]],
['adc_5fintenclr_5fmask_19673',['ADC_INTENCLR_MASK',['../adc_8h.html#a86fb35a78836c2ee97ccaa2801bcf8da',1,'adc.h']]],
['adc_5fintenclr_5foffset_19674',['ADC_INTENCLR_OFFSET',['../adc_8h.html#a2ee30f1da1a9071d819e8ec44e4c33e3',1,'adc.h']]],
['adc_5fintenclr_5foverrun_5fpos_19675',['ADC_INTENCLR_OVERRUN_Pos',['../adc_8h.html#a6fcd472698b48b4db47c658690780641',1,'adc.h']]],
['adc_5fintenclr_5fresetvalue_19676',['ADC_INTENCLR_RESETVALUE',['../adc_8h.html#ab16539d50c97ef7fd06085b36ef7c588',1,'adc.h']]],
['adc_5fintenclr_5fresrdy_5fpos_19677',['ADC_INTENCLR_RESRDY_Pos',['../adc_8h.html#ab124f90030235c342336b98942b4765c',1,'adc.h']]],
['adc_5fintenclr_5fwinmon_5fpos_19678',['ADC_INTENCLR_WINMON_Pos',['../adc_8h.html#a18577e9e20c28d5b25f1674ce80a331f',1,'adc.h']]],
['adc_5fintenset_5fmask_19679',['ADC_INTENSET_MASK',['../adc_8h.html#a348d47953ac9de47b878dd220e6359fd',1,'adc.h']]],
['adc_5fintenset_5foffset_19680',['ADC_INTENSET_OFFSET',['../adc_8h.html#a0b570aa514a0e36e01952c41e02df429',1,'adc.h']]],
['adc_5fintenset_5foverrun_5fpos_19681',['ADC_INTENSET_OVERRUN_Pos',['../adc_8h.html#a7d9b2895c1dcd0cd79242a303f9892ec',1,'adc.h']]],
['adc_5fintenset_5fresetvalue_19682',['ADC_INTENSET_RESETVALUE',['../adc_8h.html#a26f1d6d4ed9e9e0440a649b4cc89e3bb',1,'adc.h']]],
['adc_5fintenset_5fresrdy_5fpos_19683',['ADC_INTENSET_RESRDY_Pos',['../adc_8h.html#a264acd7684104056b02d545b737b9b6c',1,'adc.h']]],
['adc_5fintenset_5fwinmon_5fpos_19684',['ADC_INTENSET_WINMON_Pos',['../adc_8h.html#a83db55040702e080d753687d992794af',1,'adc.h']]],
['adc_5fintflag_5fmask_19685',['ADC_INTFLAG_MASK',['../adc_8h.html#ad6ae9e9e9b6b800afe5a4d69bb9fac91',1,'adc.h']]],
['adc_5fintflag_5foffset_19686',['ADC_INTFLAG_OFFSET',['../adc_8h.html#afd3a9b4fec2824771e0a977b66bf9403',1,'adc.h']]],
['adc_5fintflag_5foverrun_5fpos_19687',['ADC_INTFLAG_OVERRUN_Pos',['../adc_8h.html#ae42501968146288809110683854b38d5',1,'adc.h']]],
['adc_5fintflag_5fresetvalue_19688',['ADC_INTFLAG_RESETVALUE',['../adc_8h.html#a834970e3bd57aba06d98614a474f4674',1,'adc.h']]],
['adc_5fintflag_5fresrdy_5fpos_19689',['ADC_INTFLAG_RESRDY_Pos',['../adc_8h.html#aceaa92b773ddec31eebac84ace833e60',1,'adc.h']]],
['adc_5fintflag_5fwinmon_5fpos_19690',['ADC_INTFLAG_WINMON_Pos',['../adc_8h.html#ad83aca0d61282d0c0ac67d10028729d0',1,'adc.h']]],
['adc_5foffsetcorr_5fmask_19691',['ADC_OFFSETCORR_MASK',['../adc_8h.html#a4bbf04117204f10dd4495be1929b4759',1,'adc.h']]],
['adc_5foffsetcorr_5foffset_19692',['ADC_OFFSETCORR_OFFSET',['../adc_8h.html#ae30e343440c647c0051e1b85bbb1b4d7',1,'adc.h']]],
['adc_5foffsetcorr_5foffsetcorr_5fpos_19693',['ADC_OFFSETCORR_OFFSETCORR_Pos',['../adc_8h.html#a9b51f7b2fce4e38ea6e2f545e8200cb0',1,'adc.h']]],
['adc_5foffsetcorr_5fresetvalue_19694',['ADC_OFFSETCORR_RESETVALUE',['../adc_8h.html#a235cb38d1ff56b8a68b4fc572ff8927f',1,'adc.h']]],
['adc_5frefctrl_5fmask_19695',['ADC_REFCTRL_MASK',['../adc_8h.html#a19614f4a34b63887b140f3e276c41d11',1,'adc.h']]],
['adc_5frefctrl_5foffset_19696',['ADC_REFCTRL_OFFSET',['../adc_8h.html#a262294683d8f13a4ca4cae27feddbfeb',1,'adc.h']]],
['adc_5frefctrl_5frefcomp_5fpos_19697',['ADC_REFCTRL_REFCOMP_Pos',['../adc_8h.html#aecb97c00c286cfa030ba11dd4dc2d884',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefa_5fval_19698',['ADC_REFCTRL_REFSEL_AREFA_Val',['../adc_8h.html#a1b593007ede54d3fc5aa91c51eb7d052',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefb_5fval_19699',['ADC_REFCTRL_REFSEL_AREFB_Val',['../adc_8h.html#a6d43250a0758129bc25bc5c183ae25c3',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefc_5fval_19700',['ADC_REFCTRL_REFSEL_AREFC_Val',['../adc_8h.html#a0efc1fa77c0d150d94674141aafe2868',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintref_5fval_19701',['ADC_REFCTRL_REFSEL_INTREF_Val',['../adc_8h.html#a1911e56f34fa20c40f0be6888f81cbed',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintvcc0_5fval_19702',['ADC_REFCTRL_REFSEL_INTVCC0_Val',['../adc_8h.html#ae5db462246782f67edf39fecc67bf742',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintvcc1_5fval_19703',['ADC_REFCTRL_REFSEL_INTVCC1_Val',['../adc_8h.html#a82f083020e2747f258e7be98ce53d76c',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fpos_19704',['ADC_REFCTRL_REFSEL_Pos',['../adc_8h.html#a7b8a65054da09cd11886d730594eb8d3',1,'adc.h']]],
['adc_5frefctrl_5fresetvalue_19705',['ADC_REFCTRL_RESETVALUE',['../adc_8h.html#a21a914a31a46e42948558272dc5a5901',1,'adc.h']]],
['adc_5fress_5fmask_19706',['ADC_RESS_MASK',['../adc_8h.html#a95a8777da7691197e9b6e6713d25e3d5',1,'adc.h']]],
['adc_5fress_5foffset_19707',['ADC_RESS_OFFSET',['../adc_8h.html#a1f8b7d6eb7e8afdd58bdf6dcbfeed760',1,'adc.h']]],
['adc_5fress_5fresetvalue_19708',['ADC_RESS_RESETVALUE',['../adc_8h.html#a0db9d8d4c731b7bff2eb411fb693335e',1,'adc.h']]],
['adc_5fress_5fress_5fpos_19709',['ADC_RESS_RESS_Pos',['../adc_8h.html#a12e41420d03aa6cdf01a729eea66a6f3',1,'adc.h']]],
['adc_5fresult_5fmask_19710',['ADC_RESULT_MASK',['../adc_8h.html#afe06255d0d878f4218e65dabbc21c87a',1,'adc.h']]],
['adc_5fresult_5foffset_19711',['ADC_RESULT_OFFSET',['../adc_8h.html#ac871a3e42abade7bd3d2dcbf3172ce95',1,'adc.h']]],
['adc_5fresult_5fresetvalue_19712',['ADC_RESULT_RESETVALUE',['../adc_8h.html#ad872ef8122ad10f58a6546072b5157c4',1,'adc.h']]],
['adc_5fresult_5fresult_5fpos_19713',['ADC_RESULT_RESULT_Pos',['../adc_8h.html#a32c8bee520d5fa7ad752a631166250f8',1,'adc.h']]],
['adc_5fsampctrl_5fmask_19714',['ADC_SAMPCTRL_MASK',['../adc_8h.html#a707778fb7e26c8edc7cceb8646a9c1d9',1,'adc.h']]],
['adc_5fsampctrl_5foffcomp_5fpos_19715',['ADC_SAMPCTRL_OFFCOMP_Pos',['../adc_8h.html#a775b34af95139f2d40e4c4aa6f40a261',1,'adc.h']]],
['adc_5fsampctrl_5foffset_19716',['ADC_SAMPCTRL_OFFSET',['../adc_8h.html#a0f78e7dbb17e6c729ec0697ff8880d1b',1,'adc.h']]],
['adc_5fsampctrl_5fresetvalue_19717',['ADC_SAMPCTRL_RESETVALUE',['../adc_8h.html#aa58117337a5b6c69aef9958ffe3d900a',1,'adc.h']]],
['adc_5fsampctrl_5fsamplen_5fpos_19718',['ADC_SAMPCTRL_SAMPLEN_Pos',['../adc_8h.html#abcdb0d22f5636adbd1f1ef93dbdb3861',1,'adc.h']]],
['adc_5fstatus_5fadcbusy_5fpos_19719',['ADC_STATUS_ADCBUSY_Pos',['../adc_8h.html#ac4a40e9539871b919455a46c51617200',1,'adc.h']]],
['adc_5fstatus_5fmask_19720',['ADC_STATUS_MASK',['../adc_8h.html#a467db33a24f7f8143bd25064921cc59e',1,'adc.h']]],
['adc_5fstatus_5foffset_19721',['ADC_STATUS_OFFSET',['../adc_8h.html#a92a9328e87712b738d626d0b9a6c5a22',1,'adc.h']]],
['adc_5fstatus_5fresetvalue_19722',['ADC_STATUS_RESETVALUE',['../adc_8h.html#af3b1f7a8d7a87c1dc328ef7b30109101',1,'adc.h']]],
['adc_5fstatus_5fwcc_5fpos_19723',['ADC_STATUS_WCC_Pos',['../adc_8h.html#a0e08c44dada0ac2b35b1f257297bfe61',1,'adc.h']]],
['adc_5fswtrig_5fflush_5fpos_19724',['ADC_SWTRIG_FLUSH_Pos',['../adc_8h.html#a5b316b5ec857239352dbb1c1701bacc2',1,'adc.h']]],
['adc_5fswtrig_5fmask_19725',['ADC_SWTRIG_MASK',['../adc_8h.html#a03f8a2bcff30a481d12adb178c1104f0',1,'adc.h']]],
['adc_5fswtrig_5foffset_19726',['ADC_SWTRIG_OFFSET',['../adc_8h.html#a31069581e8251f1eeffcb1933288b927',1,'adc.h']]],
['adc_5fswtrig_5fresetvalue_19727',['ADC_SWTRIG_RESETVALUE',['../adc_8h.html#a49c6b5f14c508b44648ebbd35407257a',1,'adc.h']]],
['adc_5fswtrig_5fstart_5fpos_19728',['ADC_SWTRIG_START_Pos',['../adc_8h.html#a12409e6821f87b501654671ff5dcae55',1,'adc.h']]],
['adc_5fsyncbusy_5favgctrl_5fpos_19729',['ADC_SYNCBUSY_AVGCTRL_Pos',['../adc_8h.html#ae102defd7714611cfece5ca35f9ebf68',1,'adc.h']]],
['adc_5fsyncbusy_5fctrlb_5fpos_19730',['ADC_SYNCBUSY_CTRLB_Pos',['../adc_8h.html#ad0e24d8062abcbc37140f6fa93515614',1,'adc.h']]],
['adc_5fsyncbusy_5fenable_5fpos_19731',['ADC_SYNCBUSY_ENABLE_Pos',['../adc_8h.html#a4c2fa17f8421db2f1a40c2c68395f3a4',1,'adc.h']]],
['adc_5fsyncbusy_5fgaincorr_5fpos_19732',['ADC_SYNCBUSY_GAINCORR_Pos',['../adc_8h.html#a5414d2085af1455400787e2cb2bace4c',1,'adc.h']]],
['adc_5fsyncbusy_5finputctrl_5fpos_19733',['ADC_SYNCBUSY_INPUTCTRL_Pos',['../adc_8h.html#addec2d03757fac1e6e3ba43ca28c58d3',1,'adc.h']]],
['adc_5fsyncbusy_5fmask_19734',['ADC_SYNCBUSY_MASK',['../adc_8h.html#a4cb082a6d2bd0c81821acfb031bfa964',1,'adc.h']]],
['adc_5fsyncbusy_5foffset_19735',['ADC_SYNCBUSY_OFFSET',['../adc_8h.html#a428ab5147cbdfc744547b636ee655019',1,'adc.h']]],
['adc_5fsyncbusy_5foffsetcorr_5fpos_19736',['ADC_SYNCBUSY_OFFSETCORR_Pos',['../adc_8h.html#aac3ac5e8cc9af9b37763d6ce8bdf4b9d',1,'adc.h']]],
['adc_5fsyncbusy_5frefctrl_5fpos_19737',['ADC_SYNCBUSY_REFCTRL_Pos',['../adc_8h.html#a28251242c1ae84afd61c9d4e8176c22f',1,'adc.h']]],
['adc_5fsyncbusy_5fresetvalue_19738',['ADC_SYNCBUSY_RESETVALUE',['../adc_8h.html#a92a4b3b998d1e505c1c2a5471d7baebf',1,'adc.h']]],
['adc_5fsyncbusy_5fsampctrl_5fpos_19739',['ADC_SYNCBUSY_SAMPCTRL_Pos',['../adc_8h.html#a652f845bc3b2669609b54d7617776ea4',1,'adc.h']]],
['adc_5fsyncbusy_5fswrst_5fpos_19740',['ADC_SYNCBUSY_SWRST_Pos',['../adc_8h.html#a23c4a8c88c12b7f527fabc3247556fc9',1,'adc.h']]],
['adc_5fsyncbusy_5fswtrig_5fpos_19741',['ADC_SYNCBUSY_SWTRIG_Pos',['../adc_8h.html#a96ff7ac5786798c905ef5c05943b04a5',1,'adc.h']]],
['adc_5fsyncbusy_5fwinlt_5fpos_19742',['ADC_SYNCBUSY_WINLT_Pos',['../adc_8h.html#a5cfecaf1885baf8811066f15033c5de7',1,'adc.h']]],
['adc_5fsyncbusy_5fwinut_5fpos_19743',['ADC_SYNCBUSY_WINUT_Pos',['../adc_8h.html#a2e2c4deff72ea7179fd53d134e79c5c4',1,'adc.h']]],
['adc_5fwinlt_5fmask_19744',['ADC_WINLT_MASK',['../adc_8h.html#abb4377b4ea319484fcd2305d2fe98641',1,'adc.h']]],
['adc_5fwinlt_5foffset_19745',['ADC_WINLT_OFFSET',['../adc_8h.html#a8fd9cf580953e45e7f7b05deb9d5ac48',1,'adc.h']]],
['adc_5fwinlt_5fresetvalue_19746',['ADC_WINLT_RESETVALUE',['../adc_8h.html#a3f5d0deb6bcb3a591e59ab0b85dc048b',1,'adc.h']]],
['adc_5fwinlt_5fwinlt_5fpos_19747',['ADC_WINLT_WINLT_Pos',['../adc_8h.html#a8d8679254a2a5ba70a7378316be2b2e2',1,'adc.h']]],
['adc_5fwinut_5fmask_19748',['ADC_WINUT_MASK',['../adc_8h.html#a2e84ef9dbc511208c72848d87713a04b',1,'adc.h']]],
['adc_5fwinut_5foffset_19749',['ADC_WINUT_OFFSET',['../adc_8h.html#acd3f5a80c82eef920b9ebb22f630d852',1,'adc.h']]],
['adc_5fwinut_5fresetvalue_19750',['ADC_WINUT_RESETVALUE',['../adc_8h.html#af85342ba210b0c7797fceb49e8d7c054',1,'adc.h']]],
['adc_5fwinut_5fwinut_5fpos_19751',['ADC_WINUT_WINUT_Pos',['../adc_8h.html#a568d14d8329991a576f1cf45560320e8',1,'adc.h']]],
['aes_19752',['AES',['../same54n19a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54n19a.h'],['../same54n20a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54n20a.h'],['../same54p19a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54p19a.h'],['../same54p20a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54p20a.h']]],
['aes_5fciplen_5fmask_19753',['AES_CIPLEN_MASK',['../component_2aes_8h.html#a3d019b62fbb83ca231956680099ae1c5',1,'aes.h']]],
['aes_5fciplen_5foffset_19754',['AES_CIPLEN_OFFSET',['../component_2aes_8h.html#a5f0cafe0945c9251a1f82a2a53639e53',1,'aes.h']]],
['aes_5fciplen_5fresetvalue_19755',['AES_CIPLEN_RESETVALUE',['../component_2aes_8h.html#a2a5bed37ca6f471a82065f70da4e3a2d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcbc_5fval_19756',['AES_CTRLA_AESMODE_CBC_Val',['../component_2aes_8h.html#a3e632500edc9f4433c6a8da5a11c4952',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fccm_5fval_19757',['AES_CTRLA_AESMODE_CCM_Val',['../component_2aes_8h.html#ab9f33ac4176e8b315c485c6d7c5c2aba',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcfb_5fval_19758',['AES_CTRLA_AESMODE_CFB_Val',['../component_2aes_8h.html#aebc8a6143cb676d80ecc39480c3cbdbc',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcounter_5fval_19759',['AES_CTRLA_AESMODE_COUNTER_Val',['../component_2aes_8h.html#a0834f927bf839db0687cc2ab5552bc8d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fecb_5fval_19760',['AES_CTRLA_AESMODE_ECB_Val',['../component_2aes_8h.html#a098b438a3d941936c5ace9c0f33b717d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fgcm_5fval_19761',['AES_CTRLA_AESMODE_GCM_Val',['../component_2aes_8h.html#a92053e62c1213c2c1a349f4f120d98f8',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fofb_5fval_19762',['AES_CTRLA_AESMODE_OFB_Val',['../component_2aes_8h.html#a0b9db4f304e59dc4cf2bcca6542f3bb0',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fpos_19763',['AES_CTRLA_AESMODE_Pos',['../component_2aes_8h.html#a34f19d66a686bd490bdca835622d028c',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f128bit_5fval_19764',['AES_CTRLA_CFBS_128BIT_Val',['../component_2aes_8h.html#a6711abfcb46c4e4ef14b6ececc87601e',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f16bit_5fval_19765',['AES_CTRLA_CFBS_16BIT_Val',['../component_2aes_8h.html#a636c68a01504ee27108961d0c7b92f7e',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f32bit_5fval_19766',['AES_CTRLA_CFBS_32BIT_Val',['../component_2aes_8h.html#a9255981a1360616c0511a412c269a0ea',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f64bit_5fval_19767',['AES_CTRLA_CFBS_64BIT_Val',['../component_2aes_8h.html#a22b1b7d87e83ae9c9f7d7215186b4d4c',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f8bit_5fval_19768',['AES_CTRLA_CFBS_8BIT_Val',['../component_2aes_8h.html#a4e46d835d21bf301e949c68b7ea15e3a',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5fpos_19769',['AES_CTRLA_CFBS_Pos',['../component_2aes_8h.html#aed0081b2f8068882e43b04bd39c0558f',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fdec_5fval_19770',['AES_CTRLA_CIPHER_DEC_Val',['../component_2aes_8h.html#a01464e8411876289eaa270378dcd0d73',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fenc_5fval_19771',['AES_CTRLA_CIPHER_ENC_Val',['../component_2aes_8h.html#a6a4b8a6bf66c02e172f0a765738ffe58',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fpos_19772',['AES_CTRLA_CIPHER_Pos',['../component_2aes_8h.html#a25c49e1e3486f33caa38cdffaecd2024',1,'aes.h']]],
['aes_5fctrla_5fctype_5fpos_19773',['AES_CTRLA_CTYPE_Pos',['../component_2aes_8h.html#a0bfd0c90e0ee148b5a49d5d732a88caa',1,'aes.h']]],
['aes_5fctrla_5fenable_5fpos_19774',['AES_CTRLA_ENABLE_Pos',['../component_2aes_8h.html#a852dce37626d080c1920f4a78fd39082',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5flast_5fval_19775',['AES_CTRLA_KEYGEN_LAST_Val',['../component_2aes_8h.html#afb04e48eea55b84ff8e4b9d134929ed6',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5fnone_5fval_19776',['AES_CTRLA_KEYGEN_NONE_Val',['../component_2aes_8h.html#a5546431e3132fd71abad6972799e9d92',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5fpos_19777',['AES_CTRLA_KEYGEN_Pos',['../component_2aes_8h.html#a55ca29e4273692d315f1b00528d26e66',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f128bit_5fval_19778',['AES_CTRLA_KEYSIZE_128BIT_Val',['../component_2aes_8h.html#a87b5b6b70f9a922464332015e0dbf3d6',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f192bit_5fval_19779',['AES_CTRLA_KEYSIZE_192BIT_Val',['../component_2aes_8h.html#ad67bdc4b7851877250d1b90d8da52565',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f256bit_5fval_19780',['AES_CTRLA_KEYSIZE_256BIT_Val',['../component_2aes_8h.html#af068e9e0d64cf0da58b4813e41ae2e0a',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5fpos_19781',['AES_CTRLA_KEYSIZE_Pos',['../component_2aes_8h.html#a48a5ec79fc1a2dddbed967d4322fb98f',1,'aes.h']]],
['aes_5fctrla_5flod_5flast_5fval_19782',['AES_CTRLA_LOD_LAST_Val',['../component_2aes_8h.html#a47c2d51199c68923a8d27506dde9ef05',1,'aes.h']]],
['aes_5fctrla_5flod_5fnone_5fval_19783',['AES_CTRLA_LOD_NONE_Val',['../component_2aes_8h.html#ab0e6011c9e47708ecbbc00f02a0d7790',1,'aes.h']]],
['aes_5fctrla_5flod_5fpos_19784',['AES_CTRLA_LOD_Pos',['../component_2aes_8h.html#af6e4b7aee8ec535dfaf64b94f3df787a',1,'aes.h']]],
['aes_5fctrla_5fmask_19785',['AES_CTRLA_MASK',['../component_2aes_8h.html#ad3d6155566850d559b4d5beb3da78125',1,'aes.h']]],
['aes_5fctrla_5foffset_19786',['AES_CTRLA_OFFSET',['../component_2aes_8h.html#a90e931857a085af986881f27722999e4',1,'aes.h']]],
['aes_5fctrla_5fresetvalue_19787',['AES_CTRLA_RESETVALUE',['../component_2aes_8h.html#ad9c6d6bda70f75bbf70b8e1b5acba337',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fauto_5fval_19788',['AES_CTRLA_STARTMODE_AUTO_Val',['../component_2aes_8h.html#a6844c348979b9d67156784c1204e81c1',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fmanual_5fval_19789',['AES_CTRLA_STARTMODE_MANUAL_Val',['../component_2aes_8h.html#ad7e65c90f21d677de34526fe70156ce4',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fpos_19790',['AES_CTRLA_STARTMODE_Pos',['../component_2aes_8h.html#a785ffe6bd686b87bd010931ffd325043',1,'aes.h']]],
['aes_5fctrla_5fswrst_5fpos_19791',['AES_CTRLA_SWRST_Pos',['../component_2aes_8h.html#ad701aaa88264f53b847405a38b088351',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fnone_5fval_19792',['AES_CTRLA_XORKEY_NONE_Val',['../component_2aes_8h.html#a3de48ab257592839780f58f8ce29c925',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fpos_19793',['AES_CTRLA_XORKEY_Pos',['../component_2aes_8h.html#ada84c7624ddefe20ad58bb1cb83e6a10',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fxor_5fval_19794',['AES_CTRLA_XORKEY_XOR_Val',['../component_2aes_8h.html#a8dd7975a44849ac7545db404d7ca0934',1,'aes.h']]],
['aes_5fctrlb_5feom_5fpos_19795',['AES_CTRLB_EOM_Pos',['../component_2aes_8h.html#aa7b1c7532b75cda1bb64fe22119fc29f',1,'aes.h']]],
['aes_5fctrlb_5fgfmul_5fpos_19796',['AES_CTRLB_GFMUL_Pos',['../component_2aes_8h.html#a1d4dac849fc2f226972fc7915ce2bb9a',1,'aes.h']]],
['aes_5fctrlb_5fmask_19797',['AES_CTRLB_MASK',['../component_2aes_8h.html#a405604e13781e19236f362bbbbebfd57',1,'aes.h']]],
['aes_5fctrlb_5fnewmsg_5fpos_19798',['AES_CTRLB_NEWMSG_Pos',['../component_2aes_8h.html#a962d612a59f8ac1b633fb52f2423a0a4',1,'aes.h']]],
['aes_5fctrlb_5foffset_19799',['AES_CTRLB_OFFSET',['../component_2aes_8h.html#a2f786e95894df5f05ff890a6748b7407',1,'aes.h']]],
['aes_5fctrlb_5fresetvalue_19800',['AES_CTRLB_RESETVALUE',['../component_2aes_8h.html#ae33dc747714673fa684e0b0775460cf2',1,'aes.h']]],
['aes_5fctrlb_5fstart_5fpos_19801',['AES_CTRLB_START_Pos',['../component_2aes_8h.html#a5cef25ba4d85f57f405363a1d79fc7cd',1,'aes.h']]],
['aes_5fdatabufptr_5findataptr_5fpos_19802',['AES_DATABUFPTR_INDATAPTR_Pos',['../component_2aes_8h.html#a915d567de3a6921a7af37e419cbfb473',1,'aes.h']]],
['aes_5fdatabufptr_5fmask_19803',['AES_DATABUFPTR_MASK',['../component_2aes_8h.html#a976dbeb7c942e941c35c792b909beb45',1,'aes.h']]],
['aes_5fdatabufptr_5foffset_19804',['AES_DATABUFPTR_OFFSET',['../component_2aes_8h.html#a12823b5739ecdb1fdd676b6d5a8e701c',1,'aes.h']]],
['aes_5fdatabufptr_5fresetvalue_19805',['AES_DATABUFPTR_RESETVALUE',['../component_2aes_8h.html#a1318e685042491228cf65adbc20e847d',1,'aes.h']]],
['aes_5fdbgctrl_5fdbgrun_5fpos_19806',['AES_DBGCTRL_DBGRUN_Pos',['../component_2aes_8h.html#ab28725525ff73bde31c6d28b588ba0d0',1,'aes.h']]],
['aes_5fdbgctrl_5fmask_19807',['AES_DBGCTRL_MASK',['../component_2aes_8h.html#ae63e1673c0101507547e6ae1571013d0',1,'aes.h']]],
['aes_5fdbgctrl_5foffset_19808',['AES_DBGCTRL_OFFSET',['../component_2aes_8h.html#a35726f74c47a7e351127014531da2f47',1,'aes.h']]],
['aes_5fdbgctrl_5fresetvalue_19809',['AES_DBGCTRL_RESETVALUE',['../component_2aes_8h.html#a365d4b4068e5939248cd6302b995a413',1,'aes.h']]],
['aes_5fghash_5fmask_19810',['AES_GHASH_MASK',['../component_2aes_8h.html#a3d0d670007ecc74cf84ba2442017a466',1,'aes.h']]],
['aes_5fghash_5foffset_19811',['AES_GHASH_OFFSET',['../component_2aes_8h.html#abdc82d19fc90c68e64609ef90ba0346d',1,'aes.h']]],
['aes_5fghash_5fresetvalue_19812',['AES_GHASH_RESETVALUE',['../component_2aes_8h.html#a2e6d76c3282e89760281d4f1325c3531',1,'aes.h']]],
['aes_5fhashkey_5fmask_19813',['AES_HASHKEY_MASK',['../component_2aes_8h.html#a83df005ae089b7f19cf8ea39c15de70f',1,'aes.h']]],
['aes_5fhashkey_5foffset_19814',['AES_HASHKEY_OFFSET',['../component_2aes_8h.html#a3de03bc2ec0bd79c019df510cea8fea6',1,'aes.h']]],
['aes_5fhashkey_5fresetvalue_19815',['AES_HASHKEY_RESETVALUE',['../component_2aes_8h.html#aa08592d15b578a0cadb9dbe80b6a861c',1,'aes.h']]],
['aes_5findata_5fmask_19816',['AES_INDATA_MASK',['../component_2aes_8h.html#a180788b3469c7f201c64f2ab908c0bae',1,'aes.h']]],
['aes_5findata_5foffset_19817',['AES_INDATA_OFFSET',['../component_2aes_8h.html#a44da44594903a13541f89f88b344de14',1,'aes.h']]],
['aes_5findata_5fresetvalue_19818',['AES_INDATA_RESETVALUE',['../component_2aes_8h.html#aa7300347777050df07b81c1aeab9c53f',1,'aes.h']]],
['aes_5finst_5fnum_19819',['AES_INST_NUM',['../same54n19a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54p20a.h']]],
['aes_5finsts_19820',['AES_INSTS',['../same54n19a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54p20a.h']]],
['aes_5fintenclr_5fenccmp_5fpos_19821',['AES_INTENCLR_ENCCMP_Pos',['../component_2aes_8h.html#a9f0b2df1c42584d5ac86a900ad27543a',1,'aes.h']]],
['aes_5fintenclr_5fgfmcmp_5fpos_19822',['AES_INTENCLR_GFMCMP_Pos',['../component_2aes_8h.html#a69c8e4f1c9a1bb1ed6eade39cb48715b',1,'aes.h']]],
['aes_5fintenclr_5fmask_19823',['AES_INTENCLR_MASK',['../component_2aes_8h.html#a28f6072f3596258d9dc75220163b45bd',1,'aes.h']]],
['aes_5fintenclr_5foffset_19824',['AES_INTENCLR_OFFSET',['../component_2aes_8h.html#a64c9898fc7d9f702e0c1b3764fd70c28',1,'aes.h']]],
['aes_5fintenclr_5fresetvalue_19825',['AES_INTENCLR_RESETVALUE',['../component_2aes_8h.html#aa9b0902c4985f9ed2a378655d8e9af06',1,'aes.h']]],
['aes_5fintenset_5fenccmp_5fpos_19826',['AES_INTENSET_ENCCMP_Pos',['../component_2aes_8h.html#a62a56a2e423ef41dd36947677b3be1e6',1,'aes.h']]],
['aes_5fintenset_5fgfmcmp_5fpos_19827',['AES_INTENSET_GFMCMP_Pos',['../component_2aes_8h.html#aafaf0866499eeb2c3ffadc68c2e99bb6',1,'aes.h']]],
['aes_5fintenset_5fmask_19828',['AES_INTENSET_MASK',['../component_2aes_8h.html#ac931ed9e37384df0876640e451699362',1,'aes.h']]],
['aes_5fintenset_5foffset_19829',['AES_INTENSET_OFFSET',['../component_2aes_8h.html#a2c2335c63929a0ec59348053f8a4a6b8',1,'aes.h']]],
['aes_5fintenset_5fresetvalue_19830',['AES_INTENSET_RESETVALUE',['../component_2aes_8h.html#ac6efb10bfdf13c1a656ce565e3a22c6c',1,'aes.h']]],
['aes_5fintflag_5fenccmp_5fpos_19831',['AES_INTFLAG_ENCCMP_Pos',['../component_2aes_8h.html#a275779488d387fbd93b7b368e148caa6',1,'aes.h']]],
['aes_5fintflag_5fgfmcmp_5fpos_19832',['AES_INTFLAG_GFMCMP_Pos',['../component_2aes_8h.html#a331dfca6188312fceed2ee7acd16a184',1,'aes.h']]],
['aes_5fintflag_5fmask_19833',['AES_INTFLAG_MASK',['../component_2aes_8h.html#adc21177c51fa0ebba980cb432571f2a3',1,'aes.h']]],
['aes_5fintflag_5foffset_19834',['AES_INTFLAG_OFFSET',['../component_2aes_8h.html#a608bb8f3da491602172f6599ca53e82f',1,'aes.h']]],
['aes_5fintflag_5fresetvalue_19835',['AES_INTFLAG_RESETVALUE',['../component_2aes_8h.html#aaf1ed5becb953ddbe6047832971a78d1',1,'aes.h']]],
['aes_5fintvectv_5fmask_19836',['AES_INTVECTV_MASK',['../component_2aes_8h.html#aec52e9f6855e8ef708bccb15554f07f2',1,'aes.h']]],
['aes_5fintvectv_5foffset_19837',['AES_INTVECTV_OFFSET',['../component_2aes_8h.html#a7294686ad7460e413304e1149f3041f4',1,'aes.h']]],
['aes_5fintvectv_5fresetvalue_19838',['AES_INTVECTV_RESETVALUE',['../component_2aes_8h.html#af925266e565155a6b467e88c6341c270',1,'aes.h']]],
['aes_5fkeyword_5fmask_19839',['AES_KEYWORD_MASK',['../component_2aes_8h.html#a5c4c97c4c5130e8f70a9c1a90a844c40',1,'aes.h']]],
['aes_5fkeyword_5foffset_19840',['AES_KEYWORD_OFFSET',['../component_2aes_8h.html#ad43961e3649400d0297ac0bf45f66b51',1,'aes.h']]],
['aes_5fkeyword_5fresetvalue_19841',['AES_KEYWORD_RESETVALUE',['../component_2aes_8h.html#a1230f0ffc6944df9a46528ed89a81833',1,'aes.h']]],
['aes_5frandseed_5fmask_19842',['AES_RANDSEED_MASK',['../component_2aes_8h.html#ad290ffaf9510c1afd29efcbccd4768b1',1,'aes.h']]],
['aes_5frandseed_5foffset_19843',['AES_RANDSEED_OFFSET',['../component_2aes_8h.html#a66e87bea67036acb95cd23de742c0022',1,'aes.h']]],
['aes_5frandseed_5fresetvalue_19844',['AES_RANDSEED_RESETVALUE',['../component_2aes_8h.html#a455525ebfad19c0e2ceb82ef10424d25',1,'aes.h']]]
['ac_19401',['AC',['../same54n19a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54n19a.h'],['../same54n20a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54n20a.h'],['../same54p19a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54p19a.h'],['../same54p20a_8h.html#a67d1a34c515736c571484ec2a3543da5',1,'AC():&#160;same54p20a.h']]],
['ac_5fcalib_5fbias0_5fpos_19402',['AC_CALIB_BIAS0_Pos',['../component_2ac_8h.html#aa5330e11bd761c4c6f0d5614b994d139',1,'ac.h']]],
['ac_5fcalib_5fmask_19403',['AC_CALIB_MASK',['../component_2ac_8h.html#ae23b6385c98b8d26f94509c4679a802f',1,'ac.h']]],
['ac_5fcalib_5foffset_19404',['AC_CALIB_OFFSET',['../component_2ac_8h.html#a66776d54dd073666f77d33add83b2259',1,'ac.h']]],
['ac_5fcalib_5fresetvalue_19405',['AC_CALIB_RESETVALUE',['../component_2ac_8h.html#a4d10a9229a1809664a99b5b64158b4d1',1,'ac.h']]],
['ac_5fcompctrl_5fenable_5fpos_19406',['AC_COMPCTRL_ENABLE_Pos',['../component_2ac_8h.html#a492935af6daf7ba71e20073cd04c4e79',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fmaj3_5fval_19407',['AC_COMPCTRL_FLEN_MAJ3_Val',['../component_2ac_8h.html#a7e847b79ecfd83187149193ecaead562',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fmaj5_5fval_19408',['AC_COMPCTRL_FLEN_MAJ5_Val',['../component_2ac_8h.html#aaf4628cdfeb3c447df3cab7adf2665a8',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5foff_5fval_19409',['AC_COMPCTRL_FLEN_OFF_Val',['../component_2ac_8h.html#a724ff78f629c59a482f3318c76dca04e',1,'ac.h']]],
['ac_5fcompctrl_5fflen_5fpos_19410',['AC_COMPCTRL_FLEN_Pos',['../component_2ac_8h.html#a66181eff5fbbb90049f3aae3b27b5a62',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst100_5fval_19411',['AC_COMPCTRL_HYST_HYST100_Val',['../component_2ac_8h.html#a76d9532c9af08b035ac979b62ba01ada',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst150_5fval_19412',['AC_COMPCTRL_HYST_HYST150_Val',['../component_2ac_8h.html#abcba321c8007319ad90e4a393ded42e4',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fhyst50_5fval_19413',['AC_COMPCTRL_HYST_HYST50_Val',['../component_2ac_8h.html#ad32217a0763207fb52502050a20cc73a',1,'ac.h']]],
['ac_5fcompctrl_5fhyst_5fpos_19414',['AC_COMPCTRL_HYST_Pos',['../component_2ac_8h.html#a080eedbd8cdba82fc0d24013941b523b',1,'ac.h']]],
['ac_5fcompctrl_5fhysten_5fpos_19415',['AC_COMPCTRL_HYSTEN_Pos',['../component_2ac_8h.html#abbef1d3d199fcbe0c3a003a4ea60515f',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5feoc_5fval_19416',['AC_COMPCTRL_INTSEL_EOC_Val',['../component_2ac_8h.html#ad5b505d36ebe43b2bd56cc421c3f4ff7',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5ffalling_5fval_19417',['AC_COMPCTRL_INTSEL_FALLING_Val',['../component_2ac_8h.html#a67a517d1951cfe979b43f56a11cebaf0',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5fpos_19418',['AC_COMPCTRL_INTSEL_Pos',['../component_2ac_8h.html#af17b8514f92bb9fa7999607938ab4994',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5frising_5fval_19419',['AC_COMPCTRL_INTSEL_RISING_Val',['../component_2ac_8h.html#abeacc3b641a9b183888b92ce7e3361fd',1,'ac.h']]],
['ac_5fcompctrl_5fintsel_5ftoggle_5fval_19420',['AC_COMPCTRL_INTSEL_TOGGLE_Val',['../component_2ac_8h.html#aea16ed868355094251d2cdf1c1208f98',1,'ac.h']]],
['ac_5fcompctrl_5fmask_19421',['AC_COMPCTRL_MASK',['../component_2ac_8h.html#a485a4ac9ac9bea7b8d650fed87e02230',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fbandgap_5fval_19422',['AC_COMPCTRL_MUXNEG_BANDGAP_Val',['../component_2ac_8h.html#acef3eff54dde36e480ae03d0812de305',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fdac_5fval_19423',['AC_COMPCTRL_MUXNEG_DAC_Val',['../component_2ac_8h.html#a8e6a3e15110f0de0d04e4f3d91025027',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fgnd_5fval_19424',['AC_COMPCTRL_MUXNEG_GND_Val',['../component_2ac_8h.html#a04da0d95ff4ac4513cfca85f607ca882',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin0_5fval_19425',['AC_COMPCTRL_MUXNEG_PIN0_Val',['../component_2ac_8h.html#a6ac9acc365e62893a521696477b34269',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin1_5fval_19426',['AC_COMPCTRL_MUXNEG_PIN1_Val',['../component_2ac_8h.html#a873c2d1c95b263a318071d6689addd68',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin2_5fval_19427',['AC_COMPCTRL_MUXNEG_PIN2_Val',['../component_2ac_8h.html#aaf2bd6174b14f8ca4bdd9f20ec55e017',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpin3_5fval_19428',['AC_COMPCTRL_MUXNEG_PIN3_Val',['../component_2ac_8h.html#ac9fa005049fffc6404e5517a25ace420',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fpos_19429',['AC_COMPCTRL_MUXNEG_Pos',['../component_2ac_8h.html#ae66ccdb1fdce71c5b35d4acf2dcd5234',1,'ac.h']]],
['ac_5fcompctrl_5fmuxneg_5fvscale_5fval_19430',['AC_COMPCTRL_MUXNEG_VSCALE_Val',['../component_2ac_8h.html#a6c73eec576197476fa0e9ed08bfe24a2',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin0_5fval_19431',['AC_COMPCTRL_MUXPOS_PIN0_Val',['../component_2ac_8h.html#aa1bfbd8fc89186f1a11a61237e80c4c5',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin1_5fval_19432',['AC_COMPCTRL_MUXPOS_PIN1_Val',['../component_2ac_8h.html#a9fb0459c9bcee7f1ecde923e57096492',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin2_5fval_19433',['AC_COMPCTRL_MUXPOS_PIN2_Val',['../component_2ac_8h.html#a6e55540b07d767a9d998e64c7d27972b',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpin3_5fval_19434',['AC_COMPCTRL_MUXPOS_PIN3_Val',['../component_2ac_8h.html#a3fa0d80ee6e615fa69c31af219f66c4a',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fpos_19435',['AC_COMPCTRL_MUXPOS_Pos',['../component_2ac_8h.html#ad4bb8c36791a0ea8139ae435b00e4365',1,'ac.h']]],
['ac_5fcompctrl_5fmuxpos_5fvscale_5fval_19436',['AC_COMPCTRL_MUXPOS_VSCALE_Val',['../component_2ac_8h.html#a4e6961d30aa8bf0ed3f905ac3169ebdf',1,'ac.h']]],
['ac_5fcompctrl_5foffset_19437',['AC_COMPCTRL_OFFSET',['../component_2ac_8h.html#a9adbf00895d91af14908e942640e2e2d',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fasync_5fval_19438',['AC_COMPCTRL_OUT_ASYNC_Val',['../component_2ac_8h.html#a45c6b70bb8a6f53dc173f631c9ac7fca',1,'ac.h']]],
['ac_5fcompctrl_5fout_5foff_5fval_19439',['AC_COMPCTRL_OUT_OFF_Val',['../component_2ac_8h.html#af53c9e960f0c4a3279b6068973c65cc7',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fpos_19440',['AC_COMPCTRL_OUT_Pos',['../component_2ac_8h.html#a6dfe864873a0eadaba8922ecf4b25ed1',1,'ac.h']]],
['ac_5fcompctrl_5fout_5fsync_5fval_19441',['AC_COMPCTRL_OUT_SYNC_Val',['../component_2ac_8h.html#a85ea47206d4f4b7d3b3a59244ae48705',1,'ac.h']]],
['ac_5fcompctrl_5fresetvalue_19442',['AC_COMPCTRL_RESETVALUE',['../component_2ac_8h.html#a7aff9c3d55f6002d210eacea4f433a40',1,'ac.h']]],
['ac_5fcompctrl_5frunstdby_5fpos_19443',['AC_COMPCTRL_RUNSTDBY_Pos',['../component_2ac_8h.html#a209a69c0a7c751dc9e096044568b9ebd',1,'ac.h']]],
['ac_5fcompctrl_5fsingle_5fpos_19444',['AC_COMPCTRL_SINGLE_Pos',['../component_2ac_8h.html#af813cfd0ab476ddfe67bfe59dfe42c2b',1,'ac.h']]],
['ac_5fcompctrl_5fspeed_5fhigh_5fval_19445',['AC_COMPCTRL_SPEED_HIGH_Val',['../component_2ac_8h.html#a6b45b0641286de29f24da3deb2330cc4',1,'ac.h']]],
['ac_5fcompctrl_5fspeed_5fpos_19446',['AC_COMPCTRL_SPEED_Pos',['../component_2ac_8h.html#af0d15bf42764457190c7bf06e67c0d9c',1,'ac.h']]],
['ac_5fcompctrl_5fswap_5fpos_19447',['AC_COMPCTRL_SWAP_Pos',['../component_2ac_8h.html#a4e28f151d0fc3d299c8d3be58f7af79d',1,'ac.h']]],
['ac_5fctrla_5fenable_5fpos_19448',['AC_CTRLA_ENABLE_Pos',['../component_2ac_8h.html#a6ca649f4703d6b341f61a8f9d7a679b9',1,'ac.h']]],
['ac_5fctrla_5fmask_19449',['AC_CTRLA_MASK',['../component_2ac_8h.html#a9825c7ce5b1a6de685e38859c9cd282d',1,'ac.h']]],
['ac_5fctrla_5foffset_19450',['AC_CTRLA_OFFSET',['../component_2ac_8h.html#a2b8253e09cb53fcb96e93f5d425a97b4',1,'ac.h']]],
['ac_5fctrla_5fresetvalue_19451',['AC_CTRLA_RESETVALUE',['../component_2ac_8h.html#ac3bf7345c9e6bd9c13b215f211805368',1,'ac.h']]],
['ac_5fctrla_5fswrst_5fpos_19452',['AC_CTRLA_SWRST_Pos',['../component_2ac_8h.html#ab2cf4a760261959d3e1b82e930e1bb98',1,'ac.h']]],
['ac_5fctrlb_5fmask_19453',['AC_CTRLB_MASK',['../component_2ac_8h.html#a877d20a90ea3bf5063d3465e511670bf',1,'ac.h']]],
['ac_5fctrlb_5foffset_19454',['AC_CTRLB_OFFSET',['../component_2ac_8h.html#a63f35a95c3a9ef7fa1664630f3831991',1,'ac.h']]],
['ac_5fctrlb_5fresetvalue_19455',['AC_CTRLB_RESETVALUE',['../component_2ac_8h.html#a50ffeb7cd3de2b4dda6da39ff8cae69e',1,'ac.h']]],
['ac_5fctrlb_5fstart0_5fpos_19456',['AC_CTRLB_START0_Pos',['../component_2ac_8h.html#a9fe01e62bcc1df5dd7eebbdae8e28ebb',1,'ac.h']]],
['ac_5fctrlb_5fstart1_5fpos_19457',['AC_CTRLB_START1_Pos',['../component_2ac_8h.html#a285cbdca40bedb61659d00dbb41a2d7f',1,'ac.h']]],
['ac_5fctrlb_5fstart_5fpos_19458',['AC_CTRLB_START_Pos',['../component_2ac_8h.html#a5699a352a32415762e7ae47f727c34e2',1,'ac.h']]],
['ac_5fdbgctrl_5fdbgrun_5fpos_19459',['AC_DBGCTRL_DBGRUN_Pos',['../component_2ac_8h.html#adbf4394f54e90e39f863e9c8662c0f5e',1,'ac.h']]],
['ac_5fdbgctrl_5fmask_19460',['AC_DBGCTRL_MASK',['../component_2ac_8h.html#aa892616a52af86d5390677c339647575',1,'ac.h']]],
['ac_5fdbgctrl_5foffset_19461',['AC_DBGCTRL_OFFSET',['../component_2ac_8h.html#a69363893cfacb917641cebeda802c472',1,'ac.h']]],
['ac_5fdbgctrl_5fresetvalue_19462',['AC_DBGCTRL_RESETVALUE',['../component_2ac_8h.html#a28fb1da99e1b90257b2781ccfa96444b',1,'ac.h']]],
['ac_5fevctrl_5fcompei0_5fpos_19463',['AC_EVCTRL_COMPEI0_Pos',['../component_2ac_8h.html#a586fb057733a1c4b9f7c81586a2f6854',1,'ac.h']]],
['ac_5fevctrl_5fcompei1_5fpos_19464',['AC_EVCTRL_COMPEI1_Pos',['../component_2ac_8h.html#a744dba4ce32648fec57677d38bcf2be5',1,'ac.h']]],
['ac_5fevctrl_5fcompei_5fpos_19465',['AC_EVCTRL_COMPEI_Pos',['../component_2ac_8h.html#a3ecb80c6d080322ab734ade29f0fb26e',1,'ac.h']]],
['ac_5fevctrl_5fcompeo0_5fpos_19466',['AC_EVCTRL_COMPEO0_Pos',['../component_2ac_8h.html#aa70b302d7f87b0f6d8ab915ca5b7bf8f',1,'ac.h']]],
['ac_5fevctrl_5fcompeo1_5fpos_19467',['AC_EVCTRL_COMPEO1_Pos',['../component_2ac_8h.html#a2a8ed3ce927004e2e47d23ece31c48be',1,'ac.h']]],
['ac_5fevctrl_5fcompeo_5fpos_19468',['AC_EVCTRL_COMPEO_Pos',['../component_2ac_8h.html#a9a60df9204a6150ee4ce9fc489666d9d',1,'ac.h']]],
['ac_5fevctrl_5finvei0_5fpos_19469',['AC_EVCTRL_INVEI0_Pos',['../component_2ac_8h.html#ac5b3dfa3ee04752686c12a34effd852b',1,'ac.h']]],
['ac_5fevctrl_5finvei1_5fpos_19470',['AC_EVCTRL_INVEI1_Pos',['../component_2ac_8h.html#a4f2994930271c93cb896b8370ef41b00',1,'ac.h']]],
['ac_5fevctrl_5finvei_5fpos_19471',['AC_EVCTRL_INVEI_Pos',['../component_2ac_8h.html#a59a28973d23bf2b2c04b3a9b6897674d',1,'ac.h']]],
['ac_5fevctrl_5fmask_19472',['AC_EVCTRL_MASK',['../component_2ac_8h.html#a6cdb3ec1006044a008363289c16bb6a5',1,'ac.h']]],
['ac_5fevctrl_5foffset_19473',['AC_EVCTRL_OFFSET',['../component_2ac_8h.html#a2084b522a096a69a32b82b24e5e56b35',1,'ac.h']]],
['ac_5fevctrl_5fresetvalue_19474',['AC_EVCTRL_RESETVALUE',['../component_2ac_8h.html#ada78dcdc73d5a68c8647ba0ec61004e3',1,'ac.h']]],
['ac_5fevctrl_5fwineo0_5fpos_19475',['AC_EVCTRL_WINEO0_Pos',['../component_2ac_8h.html#a0e39ab9106d32a5826c0b03c25f0f010',1,'ac.h']]],
['ac_5fevctrl_5fwineo_5fpos_19476',['AC_EVCTRL_WINEO_Pos',['../component_2ac_8h.html#a1afab4ba445e18743d95471ac63fc5f3',1,'ac.h']]],
['ac_5ffuses_5fbias0_5fpos_19477',['AC_FUSES_BIAS0_Pos',['../component_2nvmctrl_8h.html#a5966886514f72bc963ac96aa44f700ec',1,'nvmctrl.h']]],
['ac_5finst_5fnum_19478',['AC_INST_NUM',['../same54n19a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a4bb4da47440a75059a698577c3038483',1,'AC_INST_NUM():&#160;same54p20a.h']]],
['ac_5finsts_19479',['AC_INSTS',['../same54n19a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a02e4d15d1fb2a4b3b8440ce6ffede047',1,'AC_INSTS():&#160;same54p20a.h']]],
['ac_5fintenclr_5fcomp0_5fpos_19480',['AC_INTENCLR_COMP0_Pos',['../component_2ac_8h.html#a4168c6a55362a465c232cdfae855edb6',1,'ac.h']]],
['ac_5fintenclr_5fcomp1_5fpos_19481',['AC_INTENCLR_COMP1_Pos',['../component_2ac_8h.html#ae1f585c9c3dfbbcbb14748de3d028334',1,'ac.h']]],
['ac_5fintenclr_5fcomp_5fpos_19482',['AC_INTENCLR_COMP_Pos',['../component_2ac_8h.html#a444b400feaaa1e25e0626635cfdf1144',1,'ac.h']]],
['ac_5fintenclr_5fmask_19483',['AC_INTENCLR_MASK',['../component_2ac_8h.html#a9c1df17ec7ebc764d76c0432181c94a2',1,'ac.h']]],
['ac_5fintenclr_5foffset_19484',['AC_INTENCLR_OFFSET',['../component_2ac_8h.html#ad6b968b57c8516a8820878fd349e0d3c',1,'ac.h']]],
['ac_5fintenclr_5fresetvalue_19485',['AC_INTENCLR_RESETVALUE',['../component_2ac_8h.html#aa39f9b02199c37ef041fc8e0d31e231d',1,'ac.h']]],
['ac_5fintenclr_5fwin0_5fpos_19486',['AC_INTENCLR_WIN0_Pos',['../component_2ac_8h.html#a8743138d490de623c23681827c5f9ed7',1,'ac.h']]],
['ac_5fintenclr_5fwin_5fpos_19487',['AC_INTENCLR_WIN_Pos',['../component_2ac_8h.html#a0db0a40e376eff9df159115da9de0920',1,'ac.h']]],
['ac_5fintenset_5fcomp0_5fpos_19488',['AC_INTENSET_COMP0_Pos',['../component_2ac_8h.html#a165b37facbcb1af2c424f505995a98c5',1,'ac.h']]],
['ac_5fintenset_5fcomp1_5fpos_19489',['AC_INTENSET_COMP1_Pos',['../component_2ac_8h.html#affb9e6ec2b081b05fe380f0a8bc71b03',1,'ac.h']]],
['ac_5fintenset_5fcomp_5fpos_19490',['AC_INTENSET_COMP_Pos',['../component_2ac_8h.html#a2b61c7a2be4d8dac26ce19d694a91b60',1,'ac.h']]],
['ac_5fintenset_5fmask_19491',['AC_INTENSET_MASK',['../component_2ac_8h.html#a6eb6eed878938f2a3a5dc2b0bc7c1cb7',1,'ac.h']]],
['ac_5fintenset_5foffset_19492',['AC_INTENSET_OFFSET',['../component_2ac_8h.html#ace22713b52e7875bc9d364ff71821f03',1,'ac.h']]],
['ac_5fintenset_5fresetvalue_19493',['AC_INTENSET_RESETVALUE',['../component_2ac_8h.html#a567ae9819bd9349410623b23b8cc04e8',1,'ac.h']]],
['ac_5fintenset_5fwin0_5fpos_19494',['AC_INTENSET_WIN0_Pos',['../component_2ac_8h.html#ac6e5dbfd1035305c4817fa3da1f1f338',1,'ac.h']]],
['ac_5fintenset_5fwin_5fpos_19495',['AC_INTENSET_WIN_Pos',['../component_2ac_8h.html#a4e44fa65ab29f61ec05f25c67c2f8f25',1,'ac.h']]],
['ac_5fintflag_5fcomp0_5fpos_19496',['AC_INTFLAG_COMP0_Pos',['../component_2ac_8h.html#abbdc400bbcac3a9c39d34452585974d1',1,'ac.h']]],
['ac_5fintflag_5fcomp1_5fpos_19497',['AC_INTFLAG_COMP1_Pos',['../component_2ac_8h.html#ab160a35daee4074f1fd5921b02c00acb',1,'ac.h']]],
['ac_5fintflag_5fcomp_5fpos_19498',['AC_INTFLAG_COMP_Pos',['../component_2ac_8h.html#a5ec6d9f6399298e5873a182d7c171cd6',1,'ac.h']]],
['ac_5fintflag_5fmask_19499',['AC_INTFLAG_MASK',['../component_2ac_8h.html#a3b147673fba8c46ce42c8825d397964b',1,'ac.h']]],
['ac_5fintflag_5foffset_19500',['AC_INTFLAG_OFFSET',['../component_2ac_8h.html#ae663a8f3156ac7555b109208126e6d66',1,'ac.h']]],
['ac_5fintflag_5fresetvalue_19501',['AC_INTFLAG_RESETVALUE',['../component_2ac_8h.html#ab2b31c52d59794ebe58100c5df360881',1,'ac.h']]],
['ac_5fintflag_5fwin0_5fpos_19502',['AC_INTFLAG_WIN0_Pos',['../component_2ac_8h.html#a9db46160e34e14edd76900fd5f8420f3',1,'ac.h']]],
['ac_5fintflag_5fwin_5fpos_19503',['AC_INTFLAG_WIN_Pos',['../component_2ac_8h.html#ab8feb07a41c8519472bce0d6b09d568d',1,'ac.h']]],
['ac_5fscaler_5fmask_19504',['AC_SCALER_MASK',['../component_2ac_8h.html#af91bd55c59e267338586cba14d252c31',1,'ac.h']]],
['ac_5fscaler_5foffset_19505',['AC_SCALER_OFFSET',['../component_2ac_8h.html#a35b0b56e4247a7e1de9e30ebb621cb3d',1,'ac.h']]],
['ac_5fscaler_5fresetvalue_19506',['AC_SCALER_RESETVALUE',['../component_2ac_8h.html#a42557feb56a587a53af8fcae1f738d4f',1,'ac.h']]],
['ac_5fscaler_5fvalue_5fpos_19507',['AC_SCALER_VALUE_Pos',['../component_2ac_8h.html#a1afb18c8a62012c570ed01f711dac8f4',1,'ac.h']]],
['ac_5fstatusa_5fmask_19508',['AC_STATUSA_MASK',['../component_2ac_8h.html#a85081ed7ee5f3dd1a625b6e4aff72be5',1,'ac.h']]],
['ac_5fstatusa_5foffset_19509',['AC_STATUSA_OFFSET',['../component_2ac_8h.html#a7357f0e4cff320ccdcfce8cfa4446590',1,'ac.h']]],
['ac_5fstatusa_5fresetvalue_19510',['AC_STATUSA_RESETVALUE',['../component_2ac_8h.html#a1d0c5a8f06130ee70400f50068aa162a',1,'ac.h']]],
['ac_5fstatusa_5fstate0_5fpos_19511',['AC_STATUSA_STATE0_Pos',['../component_2ac_8h.html#ae23aae3cd17aca60cfa3046da6f432c0',1,'ac.h']]],
['ac_5fstatusa_5fstate1_5fpos_19512',['AC_STATUSA_STATE1_Pos',['../component_2ac_8h.html#aa15668b5c57b09a6b65cab3c19bef8e5',1,'ac.h']]],
['ac_5fstatusa_5fstate_5fpos_19513',['AC_STATUSA_STATE_Pos',['../component_2ac_8h.html#ac205365550f29571c942656a16ca58f6',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fabove_5fval_19514',['AC_STATUSA_WSTATE0_ABOVE_Val',['../component_2ac_8h.html#a5d68a94c071ee73a4849497dfc57f3a0',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fbelow_5fval_19515',['AC_STATUSA_WSTATE0_BELOW_Val',['../component_2ac_8h.html#a7481f1780b3d9ee3f4bb5a35e63e4782',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5finside_5fval_19516',['AC_STATUSA_WSTATE0_INSIDE_Val',['../component_2ac_8h.html#a98fe4b3c6fd307062f3a7e2c5709bc78',1,'ac.h']]],
['ac_5fstatusa_5fwstate0_5fpos_19517',['AC_STATUSA_WSTATE0_Pos',['../component_2ac_8h.html#a1339364deea09e22e0aa9d6cc65e9548',1,'ac.h']]],
['ac_5fstatusb_5fmask_19518',['AC_STATUSB_MASK',['../component_2ac_8h.html#a4e32c46f408ba606dc303acdd3e4e546',1,'ac.h']]],
['ac_5fstatusb_5foffset_19519',['AC_STATUSB_OFFSET',['../component_2ac_8h.html#a61e64bbbcd8d070e127dc143ac1badf7',1,'ac.h']]],
['ac_5fstatusb_5fready0_5fpos_19520',['AC_STATUSB_READY0_Pos',['../component_2ac_8h.html#a0d6d9dbdd414988aaf79e423983fc8a0',1,'ac.h']]],
['ac_5fstatusb_5fready1_5fpos_19521',['AC_STATUSB_READY1_Pos',['../component_2ac_8h.html#ac6991f3944dc31c5897b9fef7107b25d',1,'ac.h']]],
['ac_5fstatusb_5fready_5fpos_19522',['AC_STATUSB_READY_Pos',['../component_2ac_8h.html#a97f22583e9717be73effe4c24a88d435',1,'ac.h']]],
['ac_5fstatusb_5fresetvalue_19523',['AC_STATUSB_RESETVALUE',['../component_2ac_8h.html#a35348c0f09306ec32f756769f1c8544b',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl0_5fpos_19524',['AC_SYNCBUSY_COMPCTRL0_Pos',['../component_2ac_8h.html#ab453d4ad66ae224e4dc090f1b824d463',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl1_5fpos_19525',['AC_SYNCBUSY_COMPCTRL1_Pos',['../component_2ac_8h.html#a8adb9efe1160b8939c9c7dcdb13b97d8',1,'ac.h']]],
['ac_5fsyncbusy_5fcompctrl_5fpos_19526',['AC_SYNCBUSY_COMPCTRL_Pos',['../component_2ac_8h.html#abc5074f82b91baf62ff2b8ab4a6ab5f1',1,'ac.h']]],
['ac_5fsyncbusy_5fenable_5fpos_19527',['AC_SYNCBUSY_ENABLE_Pos',['../component_2ac_8h.html#af1545b69ee527353f1cf98de43c70ce0',1,'ac.h']]],
['ac_5fsyncbusy_5fmask_19528',['AC_SYNCBUSY_MASK',['../component_2ac_8h.html#a70886b642d59dc0d984049d60ba72fbf',1,'ac.h']]],
['ac_5fsyncbusy_5foffset_19529',['AC_SYNCBUSY_OFFSET',['../component_2ac_8h.html#a1df5236f5d319dd7f69d24c1513d8040',1,'ac.h']]],
['ac_5fsyncbusy_5fresetvalue_19530',['AC_SYNCBUSY_RESETVALUE',['../component_2ac_8h.html#a9042c602ca89a85a0bab56483064837b',1,'ac.h']]],
['ac_5fsyncbusy_5fswrst_5fpos_19531',['AC_SYNCBUSY_SWRST_Pos',['../component_2ac_8h.html#a115ebb8e897e6d11abcba20bd23e73f4',1,'ac.h']]],
['ac_5fsyncbusy_5fwinctrl_5fpos_19532',['AC_SYNCBUSY_WINCTRL_Pos',['../component_2ac_8h.html#a60c112d3aebed9fcf80f298bdadb6ff0',1,'ac.h']]],
['ac_5fwinctrl_5fmask_19533',['AC_WINCTRL_MASK',['../component_2ac_8h.html#af99df28572c59d0f3105b754519a1db6',1,'ac.h']]],
['ac_5fwinctrl_5foffset_19534',['AC_WINCTRL_OFFSET',['../component_2ac_8h.html#a7075f883b140c498d62e6de94e22f975',1,'ac.h']]],
['ac_5fwinctrl_5fresetvalue_19535',['AC_WINCTRL_RESETVALUE',['../component_2ac_8h.html#aef191946603b0aebaf28aebcc5331ce8',1,'ac.h']]],
['ac_5fwinctrl_5fwen0_5fpos_19536',['AC_WINCTRL_WEN0_Pos',['../component_2ac_8h.html#ab5ded8b8be62ba307c7df588787f6754',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fabove_5fval_19537',['AC_WINCTRL_WINTSEL0_ABOVE_Val',['../component_2ac_8h.html#a4e693526712b2cfcabdab157f1f8be71',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fbelow_5fval_19538',['AC_WINCTRL_WINTSEL0_BELOW_Val',['../component_2ac_8h.html#ab989630a135aae7f20d8d1161fae744a',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5finside_5fval_19539',['AC_WINCTRL_WINTSEL0_INSIDE_Val',['../component_2ac_8h.html#a68bafe85eeb71ce0ce83d48a51cb95f6',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5foutside_5fval_19540',['AC_WINCTRL_WINTSEL0_OUTSIDE_Val',['../component_2ac_8h.html#a75d730dac04d0f2fdf146b55d1fe4b7f',1,'ac.h']]],
['ac_5fwinctrl_5fwintsel0_5fpos_19541',['AC_WINCTRL_WINTSEL0_Pos',['../component_2ac_8h.html#af7bc05aa7122fff6ea05ae690cbc8486',1,'ac.h']]],
['adc0_19542',['ADC0',['../same54n19a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a0d2ea0f4a8dd17bf08e69d05deacbcb5',1,'ADC0():&#160;same54p20a.h']]],
['adc0_5ffuses_5fbiascomp_5fpos_19543',['ADC0_FUSES_BIASCOMP_Pos',['../component_2nvmctrl_8h.html#a23f06473d98a6f1044ab03c3b205182f',1,'nvmctrl.h']]],
['adc0_5ffuses_5fbiasr2r_5fpos_19544',['ADC0_FUSES_BIASR2R_Pos',['../component_2nvmctrl_8h.html#a2d64b2e863cabe1d67537a6533a341d3',1,'nvmctrl.h']]],
['adc0_5ffuses_5fbiasrefbuf_5fpos_19545',['ADC0_FUSES_BIASREFBUF_Pos',['../component_2nvmctrl_8h.html#a632bce9230a48abd3a005a676c2eda03',1,'nvmctrl.h']]],
['adc1_19546',['ADC1',['../same54n19a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a90d2d5c526ce5c0a551f533eccbee71a',1,'ADC1():&#160;same54p20a.h']]],
['adc1_5ffuses_5fbiascomp_5fpos_19547',['ADC1_FUSES_BIASCOMP_Pos',['../component_2nvmctrl_8h.html#aa64e21a2c31684e928325e62c257ee9c',1,'nvmctrl.h']]],
['adc1_5ffuses_5fbiasr2r_5fpos_19548',['ADC1_FUSES_BIASR2R_Pos',['../component_2nvmctrl_8h.html#ab3bb39abdafff084802ff74a381f48cb',1,'nvmctrl.h']]],
['adc1_5ffuses_5fbiasrefbuf_5fpos_19549',['ADC1_FUSES_BIASREFBUF_Pos',['../component_2nvmctrl_8h.html#a78e01af139e070f4415e1827575a3c45',1,'nvmctrl.h']]],
['adc_5favgctrl_5fadjres_5fpos_19550',['ADC_AVGCTRL_ADJRES_Pos',['../adc_8h.html#ab08addc2799796177814fb3f44ce4917',1,'adc.h']]],
['adc_5favgctrl_5fmask_19551',['ADC_AVGCTRL_MASK',['../adc_8h.html#aaca1e22417099084fd839634334c75d0',1,'adc.h']]],
['adc_5favgctrl_5foffset_19552',['ADC_AVGCTRL_OFFSET',['../adc_8h.html#a360fdef32b21cb1a277faa31db6996fd',1,'adc.h']]],
['adc_5favgctrl_5fresetvalue_19553',['ADC_AVGCTRL_RESETVALUE',['../adc_8h.html#a8bf6910b7cb768949d87054bef54fc89',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f1024_5fval_19554',['ADC_AVGCTRL_SAMPLENUM_1024_Val',['../adc_8h.html#a9afcd3280148e12b17f74ac9ef25ff1c',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f128_5fval_19555',['ADC_AVGCTRL_SAMPLENUM_128_Val',['../adc_8h.html#afd0a9b19d5e189c78725643a1cd6fd62',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f16_5fval_19556',['ADC_AVGCTRL_SAMPLENUM_16_Val',['../adc_8h.html#a5edfb4fb05fa1c6854f3bf7e1be6f325',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f1_5fval_19557',['ADC_AVGCTRL_SAMPLENUM_1_Val',['../adc_8h.html#a9e70c7b0cd6dbf66fd9a26c9f664c094',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f256_5fval_19558',['ADC_AVGCTRL_SAMPLENUM_256_Val',['../adc_8h.html#a7576edd68ace767f66faf2f7a1541565',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f2_5fval_19559',['ADC_AVGCTRL_SAMPLENUM_2_Val',['../adc_8h.html#a02a97329331932ee77e50645ba2af513',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f32_5fval_19560',['ADC_AVGCTRL_SAMPLENUM_32_Val',['../adc_8h.html#ac9caa4b313c212c56aa881082f2e37c9',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f4_5fval_19561',['ADC_AVGCTRL_SAMPLENUM_4_Val',['../adc_8h.html#a2a0b01d83c38d590419a36959b3bb785',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f512_5fval_19562',['ADC_AVGCTRL_SAMPLENUM_512_Val',['../adc_8h.html#a7ce78bef6c6d6f18e696b10aae7d9dca',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f64_5fval_19563',['ADC_AVGCTRL_SAMPLENUM_64_Val',['../adc_8h.html#aa681a5f11f5f2c7147f8471caa9a1789',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5f8_5fval_19564',['ADC_AVGCTRL_SAMPLENUM_8_Val',['../adc_8h.html#accc8c475aeda72ace654784ec4586bf3',1,'adc.h']]],
['adc_5favgctrl_5fsamplenum_5fpos_19565',['ADC_AVGCTRL_SAMPLENUM_Pos',['../adc_8h.html#ae24ef894295278762f9293105f06ffb9',1,'adc.h']]],
['adc_5fcalib_5fbiascomp_5fpos_19566',['ADC_CALIB_BIASCOMP_Pos',['../adc_8h.html#a4d553dddf257f8d08957fe6f01e3669c',1,'adc.h']]],
['adc_5fcalib_5fbiasr2r_5fpos_19567',['ADC_CALIB_BIASR2R_Pos',['../adc_8h.html#a470f0397109ae8df715f4e9e6d946993',1,'adc.h']]],
['adc_5fcalib_5fbiasrefbuf_5fpos_19568',['ADC_CALIB_BIASREFBUF_Pos',['../adc_8h.html#a29eeca0ab642341d2cd5cebb287f47d0',1,'adc.h']]],
['adc_5fcalib_5fmask_19569',['ADC_CALIB_MASK',['../adc_8h.html#a6aee42d2c5e635f59f6e3df40bb9152e',1,'adc.h']]],
['adc_5fcalib_5foffset_19570',['ADC_CALIB_OFFSET',['../adc_8h.html#a446711ba379074fa1f2f5c844b4d1b09',1,'adc.h']]],
['adc_5fcalib_5fresetvalue_19571',['ADC_CALIB_RESETVALUE',['../adc_8h.html#a67180783b7377e1164e72a05423df707',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5fboth_5fval_19572',['ADC_CTRLA_DUALSEL_BOTH_Val',['../adc_8h.html#a2d498c0a65816bbaade2f26cb96f3ebe',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5finterleave_5fval_19573',['ADC_CTRLA_DUALSEL_INTERLEAVE_Val',['../adc_8h.html#abb4b866b3806c3163dd5bf56c542d0b1',1,'adc.h']]],
['adc_5fctrla_5fdualsel_5fpos_19574',['ADC_CTRLA_DUALSEL_Pos',['../adc_8h.html#abc1b5f0a540b8d4c1abd2a1c7274e65f',1,'adc.h']]],
['adc_5fctrla_5fenable_5fpos_19575',['ADC_CTRLA_ENABLE_Pos',['../adc_8h.html#ac82ee67f4cbe73c614e78ac5789daa88',1,'adc.h']]],
['adc_5fctrla_5fmask_19576',['ADC_CTRLA_MASK',['../adc_8h.html#a27d2475024ff104bf51f4575cdbbe276',1,'adc.h']]],
['adc_5fctrla_5foffset_19577',['ADC_CTRLA_OFFSET',['../adc_8h.html#a6ecd1e22b3acb8e29dbc4707a32dbbe7',1,'adc.h']]],
['adc_5fctrla_5fondemand_5fpos_19578',['ADC_CTRLA_ONDEMAND_Pos',['../adc_8h.html#a90000aea0ff9245e1980ca9680a78e5a',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv128_5fval_19579',['ADC_CTRLA_PRESCALER_DIV128_Val',['../adc_8h.html#a658c68dc4b620e2188d8fc3eeb66ae48',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv16_5fval_19580',['ADC_CTRLA_PRESCALER_DIV16_Val',['../adc_8h.html#afe10349fa5c47f3c562527aae02108fe',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv256_5fval_19581',['ADC_CTRLA_PRESCALER_DIV256_Val',['../adc_8h.html#a1b4c8fd3341bd1c42860ecd12718a416',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv2_5fval_19582',['ADC_CTRLA_PRESCALER_DIV2_Val',['../adc_8h.html#af955a31af47d32dcffe5e00beb891e97',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv32_5fval_19583',['ADC_CTRLA_PRESCALER_DIV32_Val',['../adc_8h.html#ae960af5a9b9d6c97f56e413bfa639edb',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv4_5fval_19584',['ADC_CTRLA_PRESCALER_DIV4_Val',['../adc_8h.html#a481eb4203a95811d7eec4920f2afe305',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv64_5fval_19585',['ADC_CTRLA_PRESCALER_DIV64_Val',['../adc_8h.html#a367bac0f454d780cc249624c95bbc94b',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fdiv8_5fval_19586',['ADC_CTRLA_PRESCALER_DIV8_Val',['../adc_8h.html#abc3878ebf83b3cae7e654f2c5972a7a8',1,'adc.h']]],
['adc_5fctrla_5fprescaler_5fpos_19587',['ADC_CTRLA_PRESCALER_Pos',['../adc_8h.html#a99cb7ced4a39c1a695348a6e8634b103',1,'adc.h']]],
['adc_5fctrla_5fr2r_5fpos_19588',['ADC_CTRLA_R2R_Pos',['../adc_8h.html#a770e72c78b0266c9ea31a2f1761b27ad',1,'adc.h']]],
['adc_5fctrla_5fresetvalue_19589',['ADC_CTRLA_RESETVALUE',['../adc_8h.html#a3d883ad1cad4255a6d5d789742d28e9f',1,'adc.h']]],
['adc_5fctrla_5frunstdby_5fpos_19590',['ADC_CTRLA_RUNSTDBY_Pos',['../adc_8h.html#a976523f3fa62e81cab8eff5acd00b190',1,'adc.h']]],
['adc_5fctrla_5fslaveen_5fpos_19591',['ADC_CTRLA_SLAVEEN_Pos',['../adc_8h.html#ae8a13bd67a942534971f0ecc9a6eac83',1,'adc.h']]],
['adc_5fctrla_5fswrst_5fpos_19592',['ADC_CTRLA_SWRST_Pos',['../adc_8h.html#af8ef9401077365b9f9fe6f89c96efab8',1,'adc.h']]],
['adc_5fctrlb_5fcorren_5fpos_19593',['ADC_CTRLB_CORREN_Pos',['../adc_8h.html#afce773afb07efbdd9189557974f518b0',1,'adc.h']]],
['adc_5fctrlb_5ffreerun_5fpos_19594',['ADC_CTRLB_FREERUN_Pos',['../adc_8h.html#a931ce86849e963e3d1baf034687fb7d3',1,'adc.h']]],
['adc_5fctrlb_5fleftadj_5fpos_19595',['ADC_CTRLB_LEFTADJ_Pos',['../adc_8h.html#afdbced27cf9197b2d4933d71a71740c8',1,'adc.h']]],
['adc_5fctrlb_5fmask_19596',['ADC_CTRLB_MASK',['../adc_8h.html#a6faca029aa90801c148382cd602fd85c',1,'adc.h']]],
['adc_5fctrlb_5foffset_19597',['ADC_CTRLB_OFFSET',['../adc_8h.html#aa53e5615c9d9951bc7efb464caf48d1f',1,'adc.h']]],
['adc_5fctrlb_5fresetvalue_19598',['ADC_CTRLB_RESETVALUE',['../adc_8h.html#a05bc133f816637a15b503b70679f6798',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f10bit_5fval_19599',['ADC_CTRLB_RESSEL_10BIT_Val',['../adc_8h.html#a7aae19c0d8276b179805578feb8b24da',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f12bit_5fval_19600',['ADC_CTRLB_RESSEL_12BIT_Val',['../adc_8h.html#a31cc5b803dcceafdd124477c67b10145',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f16bit_5fval_19601',['ADC_CTRLB_RESSEL_16BIT_Val',['../adc_8h.html#adbd375de856d42b6279963f6f10c7697',1,'adc.h']]],
['adc_5fctrlb_5fressel_5f8bit_5fval_19602',['ADC_CTRLB_RESSEL_8BIT_Val',['../adc_8h.html#a7cdc63d688db409cee2d5d0d2469f7e0',1,'adc.h']]],
['adc_5fctrlb_5fressel_5fpos_19603',['ADC_CTRLB_RESSEL_Pos',['../adc_8h.html#af76b4d68e2a72e598663c5de37790510',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fdisable_5fval_19604',['ADC_CTRLB_WINMODE_DISABLE_Val',['../adc_8h.html#a658eeab8b3fdca08387707bd8ee571bf',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode1_5fval_19605',['ADC_CTRLB_WINMODE_MODE1_Val',['../adc_8h.html#a21dabd662945436c540d8caaccb59a40',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode2_5fval_19606',['ADC_CTRLB_WINMODE_MODE2_Val',['../adc_8h.html#ab99cb5c14d2266f53fac81ee0f24ce70',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode3_5fval_19607',['ADC_CTRLB_WINMODE_MODE3_Val',['../adc_8h.html#abd89c00362908b7175badba06b4a3fbb',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fmode4_5fval_19608',['ADC_CTRLB_WINMODE_MODE4_Val',['../adc_8h.html#a2a461c8f0753f4e00b2efba4d620fb7c',1,'adc.h']]],
['adc_5fctrlb_5fwinmode_5fpos_19609',['ADC_CTRLB_WINMODE_Pos',['../adc_8h.html#a170f7b3da7b53881cf067a6ef903c15a',1,'adc.h']]],
['adc_5fctrlb_5fwinss_5fpos_19610',['ADC_CTRLB_WINSS_Pos',['../adc_8h.html#a98ec31e56862e2aa0718e6062bd82f73',1,'adc.h']]],
['adc_5fdbgctrl_5fdbgrun_5fpos_19611',['ADC_DBGCTRL_DBGRUN_Pos',['../adc_8h.html#a6efae94a5c4156ab6bddff706917eca0',1,'adc.h']]],
['adc_5fdbgctrl_5fmask_19612',['ADC_DBGCTRL_MASK',['../adc_8h.html#ab25e0ee29c51fdfc76ba7fc828fd5c0a',1,'adc.h']]],
['adc_5fdbgctrl_5foffset_19613',['ADC_DBGCTRL_OFFSET',['../adc_8h.html#a4e4a609b23ab0bec015d436e2abf67fe',1,'adc.h']]],
['adc_5fdbgctrl_5fresetvalue_19614',['ADC_DBGCTRL_RESETVALUE',['../adc_8h.html#a55a5b2cca3961a9c17812caed2bcbe5a',1,'adc.h']]],
['adc_5fdseqctrl_5fautostart_5fpos_19615',['ADC_DSEQCTRL_AUTOSTART_Pos',['../adc_8h.html#a1f41913201414adc4cb404b44d38c2c9',1,'adc.h']]],
['adc_5fdseqctrl_5favgctrl_5fpos_19616',['ADC_DSEQCTRL_AVGCTRL_Pos',['../adc_8h.html#a612e374887ff6d452e5c44baab29a42f',1,'adc.h']]],
['adc_5fdseqctrl_5fctrlb_5fpos_19617',['ADC_DSEQCTRL_CTRLB_Pos',['../adc_8h.html#ac88baf1e90589ba4ed18eea606829803',1,'adc.h']]],
['adc_5fdseqctrl_5fgaincorr_5fpos_19618',['ADC_DSEQCTRL_GAINCORR_Pos',['../adc_8h.html#a42cc552c6091a228e7f5aaca043ebd71',1,'adc.h']]],
['adc_5fdseqctrl_5finputctrl_5fpos_19619',['ADC_DSEQCTRL_INPUTCTRL_Pos',['../adc_8h.html#a7dfc5aa4f6bb6fb4b087671e916779dd',1,'adc.h']]],
['adc_5fdseqctrl_5fmask_19620',['ADC_DSEQCTRL_MASK',['../adc_8h.html#a70f8576278a0962f7f3f546cc310bd7d',1,'adc.h']]],
['adc_5fdseqctrl_5foffset_19621',['ADC_DSEQCTRL_OFFSET',['../adc_8h.html#afe98f9ee73b667ae7d2c7587a96bebc5',1,'adc.h']]],
['adc_5fdseqctrl_5foffsetcorr_5fpos_19622',['ADC_DSEQCTRL_OFFSETCORR_Pos',['../adc_8h.html#aa775fff6e3a7ceeea00cb5eb7d8bd9ad',1,'adc.h']]],
['adc_5fdseqctrl_5frefctrl_5fpos_19623',['ADC_DSEQCTRL_REFCTRL_Pos',['../adc_8h.html#ad5de1b5df4faae7e07f84a4ad562c0bd',1,'adc.h']]],
['adc_5fdseqctrl_5fresetvalue_19624',['ADC_DSEQCTRL_RESETVALUE',['../adc_8h.html#a37172feda3ee418315e3634b760aae4c',1,'adc.h']]],
['adc_5fdseqctrl_5fsampctrl_5fpos_19625',['ADC_DSEQCTRL_SAMPCTRL_Pos',['../adc_8h.html#a1f1736e5532721fb186e11ca0d1f38f8',1,'adc.h']]],
['adc_5fdseqctrl_5fwinlt_5fpos_19626',['ADC_DSEQCTRL_WINLT_Pos',['../adc_8h.html#a0ef74b3be2f0b1eae0a6f7e048ab7f85',1,'adc.h']]],
['adc_5fdseqctrl_5fwinut_5fpos_19627',['ADC_DSEQCTRL_WINUT_Pos',['../adc_8h.html#a14e25745ebcc58cb742d759d7f2f3a2d',1,'adc.h']]],
['adc_5fdseqdata_5fdata_5fpos_19628',['ADC_DSEQDATA_DATA_Pos',['../adc_8h.html#ac4c13e48dde601432d560bd36d620475',1,'adc.h']]],
['adc_5fdseqdata_5fmask_19629',['ADC_DSEQDATA_MASK',['../adc_8h.html#a1717d451eae3584ef741af2abebe1867',1,'adc.h']]],
['adc_5fdseqdata_5foffset_19630',['ADC_DSEQDATA_OFFSET',['../adc_8h.html#a38751aabfdc06b807eed660f6a763bc6',1,'adc.h']]],
['adc_5fdseqdata_5fresetvalue_19631',['ADC_DSEQDATA_RESETVALUE',['../adc_8h.html#a7228ba2525f35dac60a83d958c282bc5',1,'adc.h']]],
['adc_5fdseqstat_5favgctrl_5fpos_19632',['ADC_DSEQSTAT_AVGCTRL_Pos',['../adc_8h.html#a749bc91d885a29b77e0a561b2cd64c84',1,'adc.h']]],
['adc_5fdseqstat_5fbusy_5fpos_19633',['ADC_DSEQSTAT_BUSY_Pos',['../adc_8h.html#adfe15440f5f46ab58f4328c1bbec25d8',1,'adc.h']]],
['adc_5fdseqstat_5fctrlb_5fpos_19634',['ADC_DSEQSTAT_CTRLB_Pos',['../adc_8h.html#a67092dff10117dd35830cbef32e26903',1,'adc.h']]],
['adc_5fdseqstat_5fgaincorr_5fpos_19635',['ADC_DSEQSTAT_GAINCORR_Pos',['../adc_8h.html#a9e07765c28810991235749171da6e66a',1,'adc.h']]],
['adc_5fdseqstat_5finputctrl_5fpos_19636',['ADC_DSEQSTAT_INPUTCTRL_Pos',['../adc_8h.html#ad737c0d0bde4e41041323b1e21af9487',1,'adc.h']]],
['adc_5fdseqstat_5fmask_19637',['ADC_DSEQSTAT_MASK',['../adc_8h.html#a9c78af316e7091ccc90d83806d01ea5a',1,'adc.h']]],
['adc_5fdseqstat_5foffset_19638',['ADC_DSEQSTAT_OFFSET',['../adc_8h.html#ac8ad5b15bd1e8b15a316478481a610f7',1,'adc.h']]],
['adc_5fdseqstat_5foffsetcorr_5fpos_19639',['ADC_DSEQSTAT_OFFSETCORR_Pos',['../adc_8h.html#af9be7d93fc616c7e84864b27b92ff4c3',1,'adc.h']]],
['adc_5fdseqstat_5frefctrl_5fpos_19640',['ADC_DSEQSTAT_REFCTRL_Pos',['../adc_8h.html#a9395559e969e9ad475ca1e0e6cb875dc',1,'adc.h']]],
['adc_5fdseqstat_5fresetvalue_19641',['ADC_DSEQSTAT_RESETVALUE',['../adc_8h.html#a004f4b46302e058a555e7803b3e50140',1,'adc.h']]],
['adc_5fdseqstat_5fsampctrl_5fpos_19642',['ADC_DSEQSTAT_SAMPCTRL_Pos',['../adc_8h.html#a6e51ca3a1faf7a099b7800018b0f5c22',1,'adc.h']]],
['adc_5fdseqstat_5fwinlt_5fpos_19643',['ADC_DSEQSTAT_WINLT_Pos',['../adc_8h.html#acb4beba10aae2138fcda74d01c2c474d',1,'adc.h']]],
['adc_5fdseqstat_5fwinut_5fpos_19644',['ADC_DSEQSTAT_WINUT_Pos',['../adc_8h.html#afde5fb070e4cc92961ea0577aca29f8e',1,'adc.h']]],
['adc_5fevctrl_5fflushei_5fpos_19645',['ADC_EVCTRL_FLUSHEI_Pos',['../adc_8h.html#a7e43c435c6e972cd3d1ba58929fa98dc',1,'adc.h']]],
['adc_5fevctrl_5fflushinv_5fpos_19646',['ADC_EVCTRL_FLUSHINV_Pos',['../adc_8h.html#a9d5e64bc7d34bbf04f17078d1d0912af',1,'adc.h']]],
['adc_5fevctrl_5fmask_19647',['ADC_EVCTRL_MASK',['../adc_8h.html#a3163598754fcfe1101f38627982c882f',1,'adc.h']]],
['adc_5fevctrl_5foffset_19648',['ADC_EVCTRL_OFFSET',['../adc_8h.html#ac21857646620ffdd08e5e8b06a428bcb',1,'adc.h']]],
['adc_5fevctrl_5fresetvalue_19649',['ADC_EVCTRL_RESETVALUE',['../adc_8h.html#aeac5f216df63e8624c483526993c013c',1,'adc.h']]],
['adc_5fevctrl_5fresrdyeo_5fpos_19650',['ADC_EVCTRL_RESRDYEO_Pos',['../adc_8h.html#a20243ed239f2fe8a42ad79d1d8414415',1,'adc.h']]],
['adc_5fevctrl_5fstartei_5fpos_19651',['ADC_EVCTRL_STARTEI_Pos',['../adc_8h.html#af92080afb69dadfd33cc3d2b155a966b',1,'adc.h']]],
['adc_5fevctrl_5fstartinv_5fpos_19652',['ADC_EVCTRL_STARTINV_Pos',['../adc_8h.html#afb19da28a4e8484bb02d42e69c09c664',1,'adc.h']]],
['adc_5fevctrl_5fwinmoneo_5fpos_19653',['ADC_EVCTRL_WINMONEO_Pos',['../adc_8h.html#aee6cc66f7667c52d0f0166c26fb61ac5',1,'adc.h']]],
['adc_5fgaincorr_5fgaincorr_5fpos_19654',['ADC_GAINCORR_GAINCORR_Pos',['../adc_8h.html#a1d8e6acd56583b4ba138c3493f455467',1,'adc.h']]],
['adc_5fgaincorr_5fmask_19655',['ADC_GAINCORR_MASK',['../adc_8h.html#a952f9f90ebf26fde618e26e5cd400223',1,'adc.h']]],
['adc_5fgaincorr_5foffset_19656',['ADC_GAINCORR_OFFSET',['../adc_8h.html#a3a08e951226da91279bab7223313a03f',1,'adc.h']]],
['adc_5fgaincorr_5fresetvalue_19657',['ADC_GAINCORR_RESETVALUE',['../adc_8h.html#aa8f7dea45349053a7d05f0b61e9a867f',1,'adc.h']]],
['adc_5finputctrl_5fdiffmode_5fpos_19658',['ADC_INPUTCTRL_DIFFMODE_Pos',['../adc_8h.html#a54c56f06861573dce4b7c8f93ae744eb',1,'adc.h']]],
['adc_5finputctrl_5fdseqstop_5fpos_19659',['ADC_INPUTCTRL_DSEQSTOP_Pos',['../adc_8h.html#a627250e74bfa3475a9516447938f8064',1,'adc.h']]],
['adc_5finputctrl_5fmask_19660',['ADC_INPUTCTRL_MASK',['../adc_8h.html#a05f6805f807d0dac42fcaba65dcc8a41',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain0_5fval_19661',['ADC_INPUTCTRL_MUXNEG_AIN0_Val',['../adc_8h.html#a867dfa8f9e6a66a7a2ea43d6dbd13764',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain1_5fval_19662',['ADC_INPUTCTRL_MUXNEG_AIN1_Val',['../adc_8h.html#a65c4031252e29b801ab725e831297c7f',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain2_5fval_19663',['ADC_INPUTCTRL_MUXNEG_AIN2_Val',['../adc_8h.html#a09e7cbeacb0e375e6e11b4b411581ab2',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain3_5fval_19664',['ADC_INPUTCTRL_MUXNEG_AIN3_Val',['../adc_8h.html#a7e31bc15fe6f1320232a1c6e96082094',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain4_5fval_19665',['ADC_INPUTCTRL_MUXNEG_AIN4_Val',['../adc_8h.html#a152c475597bce7f68aaf5844e5c9c1b2',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain5_5fval_19666',['ADC_INPUTCTRL_MUXNEG_AIN5_Val',['../adc_8h.html#a69a5997a4dfb766d498952d9d402d288',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain6_5fval_19667',['ADC_INPUTCTRL_MUXNEG_AIN6_Val',['../adc_8h.html#a879062c4bac98ffbe47d11665f9e978a',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fain7_5fval_19668',['ADC_INPUTCTRL_MUXNEG_AIN7_Val',['../adc_8h.html#a95bc808b5e5ac3789c0fdf6c9b9e01b0',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fgnd_5fval_19669',['ADC_INPUTCTRL_MUXNEG_GND_Val',['../adc_8h.html#ae6ea3ff05c2eb05f70ec06ee544fe1d1',1,'adc.h']]],
['adc_5finputctrl_5fmuxneg_5fpos_19670',['ADC_INPUTCTRL_MUXNEG_Pos',['../adc_8h.html#a47f933ef105812dd56ccffab8f7a0877',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain0_5fval_19671',['ADC_INPUTCTRL_MUXPOS_AIN0_Val',['../adc_8h.html#a435301c77fea1abf66a3b77a1150cfbe',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain10_5fval_19672',['ADC_INPUTCTRL_MUXPOS_AIN10_Val',['../adc_8h.html#ab884f040492006360c328164cfae72a3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain11_5fval_19673',['ADC_INPUTCTRL_MUXPOS_AIN11_Val',['../adc_8h.html#a0e221af1407d390a01a75b42e38b2e0c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain12_5fval_19674',['ADC_INPUTCTRL_MUXPOS_AIN12_Val',['../adc_8h.html#a458774096cef14109980fca96a7ceb66',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain13_5fval_19675',['ADC_INPUTCTRL_MUXPOS_AIN13_Val',['../adc_8h.html#a152571a42b1857c123bf55d3204ac530',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain14_5fval_19676',['ADC_INPUTCTRL_MUXPOS_AIN14_Val',['../adc_8h.html#a6ca65f998850d5f1f86cf8edbc3b4d31',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain15_5fval_19677',['ADC_INPUTCTRL_MUXPOS_AIN15_Val',['../adc_8h.html#a59027c13e656f2325c09c8f21a6abfd7',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain16_5fval_19678',['ADC_INPUTCTRL_MUXPOS_AIN16_Val',['../adc_8h.html#a34709dc7786fc55236c1b307ea2fe5fe',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain17_5fval_19679',['ADC_INPUTCTRL_MUXPOS_AIN17_Val',['../adc_8h.html#ab025b09d10197f292b71759e1921c271',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain18_5fval_19680',['ADC_INPUTCTRL_MUXPOS_AIN18_Val',['../adc_8h.html#a72f8781f2eadc5d8d9f844bfae90b8b9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain19_5fval_19681',['ADC_INPUTCTRL_MUXPOS_AIN19_Val',['../adc_8h.html#a135578a3e87f1618a959c85357e4a94f',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain1_5fval_19682',['ADC_INPUTCTRL_MUXPOS_AIN1_Val',['../adc_8h.html#a2687e7ffb68e6da887146f2c62c18f6b',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain20_5fval_19683',['ADC_INPUTCTRL_MUXPOS_AIN20_Val',['../adc_8h.html#a26a5a3d36d0375f971b3261de71af223',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain21_5fval_19684',['ADC_INPUTCTRL_MUXPOS_AIN21_Val',['../adc_8h.html#a43e2078e0fc1fded2d257c6ed7a2de77',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain22_5fval_19685',['ADC_INPUTCTRL_MUXPOS_AIN22_Val',['../adc_8h.html#a3e6d02357fe37cd29c32bb6f9e8fa2b9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain23_5fval_19686',['ADC_INPUTCTRL_MUXPOS_AIN23_Val',['../adc_8h.html#a647cce884be7f43f6afafb7b93417ce3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain2_5fval_19687',['ADC_INPUTCTRL_MUXPOS_AIN2_Val',['../adc_8h.html#acc4be6c554e9992353f7cfc97612ad3c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain3_5fval_19688',['ADC_INPUTCTRL_MUXPOS_AIN3_Val',['../adc_8h.html#a251c9c1e052523310d09b1acc78e78ac',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain4_5fval_19689',['ADC_INPUTCTRL_MUXPOS_AIN4_Val',['../adc_8h.html#a7f3a2f08ed3b07f84a8c33674b878797',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain5_5fval_19690',['ADC_INPUTCTRL_MUXPOS_AIN5_Val',['../adc_8h.html#a5114a1b1855bcb59f3f85d84bc010f6a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain6_5fval_19691',['ADC_INPUTCTRL_MUXPOS_AIN6_Val',['../adc_8h.html#a1665dbaf7d7c4b25c646195814e52827',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain7_5fval_19692',['ADC_INPUTCTRL_MUXPOS_AIN7_Val',['../adc_8h.html#a225a4cbb07c063427f5b635c1db6655a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain8_5fval_19693',['ADC_INPUTCTRL_MUXPOS_AIN8_Val',['../adc_8h.html#a2aee985fdfcb864f7d18c60c09c352e8',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fain9_5fval_19694',['ADC_INPUTCTRL_MUXPOS_AIN9_Val',['../adc_8h.html#a6ca797b644abff9b69cfa7b34f6129e6',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fbandgap_5fval_19695',['ADC_INPUTCTRL_MUXPOS_BANDGAP_Val',['../adc_8h.html#a06e3489e60e6b9a147d334178bc54804',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fctat_5fval_19696',['ADC_INPUTCTRL_MUXPOS_CTAT_Val',['../adc_8h.html#a953ac75b34903bd179d9e0d5a74fcb94',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fdac_5fval_19697',['ADC_INPUTCTRL_MUXPOS_DAC_Val',['../adc_8h.html#a3dcf66ff42fdb4efc566c4790b431ed9',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fpos_19698',['ADC_INPUTCTRL_MUXPOS_Pos',['../adc_8h.html#a583868be285e1c06c9a93dfd552d8c6a',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fptat_5fval_19699',['ADC_INPUTCTRL_MUXPOS_PTAT_Val',['../adc_8h.html#a992edb598f19f49d0155c82a6e54a15c',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fptc_5fval_19700',['ADC_INPUTCTRL_MUXPOS_PTC_Val',['../adc_8h.html#a7f4473612d7694a2cd4c4312fa3a63cc',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscaledcorevcc_5fval_19701',['ADC_INPUTCTRL_MUXPOS_SCALEDCOREVCC_Val',['../adc_8h.html#a9d77bb05e49f1e56dce22cdbeda42df3',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscalediovcc_5fval_19702',['ADC_INPUTCTRL_MUXPOS_SCALEDIOVCC_Val',['../adc_8h.html#a3d2c77a183f5a2294d33f2bbc77fa637',1,'adc.h']]],
['adc_5finputctrl_5fmuxpos_5fscaledvbat_5fval_19703',['ADC_INPUTCTRL_MUXPOS_SCALEDVBAT_Val',['../adc_8h.html#aab9c614fcc1fd111292116ba7f0e049d',1,'adc.h']]],
['adc_5finputctrl_5foffset_19704',['ADC_INPUTCTRL_OFFSET',['../adc_8h.html#a9692ff2e0c84bb47a64ed6704defc86c',1,'adc.h']]],
['adc_5finputctrl_5fresetvalue_19705',['ADC_INPUTCTRL_RESETVALUE',['../adc_8h.html#a91cf2e939a7345b5a26ff492a176f647',1,'adc.h']]],
['adc_5finst_5fnum_19706',['ADC_INST_NUM',['../same54n19a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ac539e8e2e65134854344ceb66b3bab64',1,'ADC_INST_NUM():&#160;same54p20a.h']]],
['adc_5finsts_19707',['ADC_INSTS',['../same54n19a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#ac8cdf23f347cb42af81b527251ff1dd5',1,'ADC_INSTS():&#160;same54p20a.h']]],
['adc_5fintenclr_5fmask_19708',['ADC_INTENCLR_MASK',['../adc_8h.html#a86fb35a78836c2ee97ccaa2801bcf8da',1,'adc.h']]],
['adc_5fintenclr_5foffset_19709',['ADC_INTENCLR_OFFSET',['../adc_8h.html#a2ee30f1da1a9071d819e8ec44e4c33e3',1,'adc.h']]],
['adc_5fintenclr_5foverrun_5fpos_19710',['ADC_INTENCLR_OVERRUN_Pos',['../adc_8h.html#a6fcd472698b48b4db47c658690780641',1,'adc.h']]],
['adc_5fintenclr_5fresetvalue_19711',['ADC_INTENCLR_RESETVALUE',['../adc_8h.html#ab16539d50c97ef7fd06085b36ef7c588',1,'adc.h']]],
['adc_5fintenclr_5fresrdy_5fpos_19712',['ADC_INTENCLR_RESRDY_Pos',['../adc_8h.html#ab124f90030235c342336b98942b4765c',1,'adc.h']]],
['adc_5fintenclr_5fwinmon_5fpos_19713',['ADC_INTENCLR_WINMON_Pos',['../adc_8h.html#a18577e9e20c28d5b25f1674ce80a331f',1,'adc.h']]],
['adc_5fintenset_5fmask_19714',['ADC_INTENSET_MASK',['../adc_8h.html#a348d47953ac9de47b878dd220e6359fd',1,'adc.h']]],
['adc_5fintenset_5foffset_19715',['ADC_INTENSET_OFFSET',['../adc_8h.html#a0b570aa514a0e36e01952c41e02df429',1,'adc.h']]],
['adc_5fintenset_5foverrun_5fpos_19716',['ADC_INTENSET_OVERRUN_Pos',['../adc_8h.html#a7d9b2895c1dcd0cd79242a303f9892ec',1,'adc.h']]],
['adc_5fintenset_5fresetvalue_19717',['ADC_INTENSET_RESETVALUE',['../adc_8h.html#a26f1d6d4ed9e9e0440a649b4cc89e3bb',1,'adc.h']]],
['adc_5fintenset_5fresrdy_5fpos_19718',['ADC_INTENSET_RESRDY_Pos',['../adc_8h.html#a264acd7684104056b02d545b737b9b6c',1,'adc.h']]],
['adc_5fintenset_5fwinmon_5fpos_19719',['ADC_INTENSET_WINMON_Pos',['../adc_8h.html#a83db55040702e080d753687d992794af',1,'adc.h']]],
['adc_5fintflag_5fmask_19720',['ADC_INTFLAG_MASK',['../adc_8h.html#ad6ae9e9e9b6b800afe5a4d69bb9fac91',1,'adc.h']]],
['adc_5fintflag_5foffset_19721',['ADC_INTFLAG_OFFSET',['../adc_8h.html#afd3a9b4fec2824771e0a977b66bf9403',1,'adc.h']]],
['adc_5fintflag_5foverrun_5fpos_19722',['ADC_INTFLAG_OVERRUN_Pos',['../adc_8h.html#ae42501968146288809110683854b38d5',1,'adc.h']]],
['adc_5fintflag_5fresetvalue_19723',['ADC_INTFLAG_RESETVALUE',['../adc_8h.html#a834970e3bd57aba06d98614a474f4674',1,'adc.h']]],
['adc_5fintflag_5fresrdy_5fpos_19724',['ADC_INTFLAG_RESRDY_Pos',['../adc_8h.html#aceaa92b773ddec31eebac84ace833e60',1,'adc.h']]],
['adc_5fintflag_5fwinmon_5fpos_19725',['ADC_INTFLAG_WINMON_Pos',['../adc_8h.html#ad83aca0d61282d0c0ac67d10028729d0',1,'adc.h']]],
['adc_5foffsetcorr_5fmask_19726',['ADC_OFFSETCORR_MASK',['../adc_8h.html#a4bbf04117204f10dd4495be1929b4759',1,'adc.h']]],
['adc_5foffsetcorr_5foffset_19727',['ADC_OFFSETCORR_OFFSET',['../adc_8h.html#ae30e343440c647c0051e1b85bbb1b4d7',1,'adc.h']]],
['adc_5foffsetcorr_5foffsetcorr_5fpos_19728',['ADC_OFFSETCORR_OFFSETCORR_Pos',['../adc_8h.html#a9b51f7b2fce4e38ea6e2f545e8200cb0',1,'adc.h']]],
['adc_5foffsetcorr_5fresetvalue_19729',['ADC_OFFSETCORR_RESETVALUE',['../adc_8h.html#a235cb38d1ff56b8a68b4fc572ff8927f',1,'adc.h']]],
['adc_5frefctrl_5fmask_19730',['ADC_REFCTRL_MASK',['../adc_8h.html#a19614f4a34b63887b140f3e276c41d11',1,'adc.h']]],
['adc_5frefctrl_5foffset_19731',['ADC_REFCTRL_OFFSET',['../adc_8h.html#a262294683d8f13a4ca4cae27feddbfeb',1,'adc.h']]],
['adc_5frefctrl_5frefcomp_5fpos_19732',['ADC_REFCTRL_REFCOMP_Pos',['../adc_8h.html#aecb97c00c286cfa030ba11dd4dc2d884',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefa_5fval_19733',['ADC_REFCTRL_REFSEL_AREFA_Val',['../adc_8h.html#a1b593007ede54d3fc5aa91c51eb7d052',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefb_5fval_19734',['ADC_REFCTRL_REFSEL_AREFB_Val',['../adc_8h.html#a6d43250a0758129bc25bc5c183ae25c3',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5farefc_5fval_19735',['ADC_REFCTRL_REFSEL_AREFC_Val',['../adc_8h.html#a0efc1fa77c0d150d94674141aafe2868',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintref_5fval_19736',['ADC_REFCTRL_REFSEL_INTREF_Val',['../adc_8h.html#a1911e56f34fa20c40f0be6888f81cbed',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintvcc0_5fval_19737',['ADC_REFCTRL_REFSEL_INTVCC0_Val',['../adc_8h.html#ae5db462246782f67edf39fecc67bf742',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fintvcc1_5fval_19738',['ADC_REFCTRL_REFSEL_INTVCC1_Val',['../adc_8h.html#a82f083020e2747f258e7be98ce53d76c',1,'adc.h']]],
['adc_5frefctrl_5frefsel_5fpos_19739',['ADC_REFCTRL_REFSEL_Pos',['../adc_8h.html#a7b8a65054da09cd11886d730594eb8d3',1,'adc.h']]],
['adc_5frefctrl_5fresetvalue_19740',['ADC_REFCTRL_RESETVALUE',['../adc_8h.html#a21a914a31a46e42948558272dc5a5901',1,'adc.h']]],
['adc_5fress_5fmask_19741',['ADC_RESS_MASK',['../adc_8h.html#a95a8777da7691197e9b6e6713d25e3d5',1,'adc.h']]],
['adc_5fress_5foffset_19742',['ADC_RESS_OFFSET',['../adc_8h.html#a1f8b7d6eb7e8afdd58bdf6dcbfeed760',1,'adc.h']]],
['adc_5fress_5fresetvalue_19743',['ADC_RESS_RESETVALUE',['../adc_8h.html#a0db9d8d4c731b7bff2eb411fb693335e',1,'adc.h']]],
['adc_5fress_5fress_5fpos_19744',['ADC_RESS_RESS_Pos',['../adc_8h.html#a12e41420d03aa6cdf01a729eea66a6f3',1,'adc.h']]],
['adc_5fresult_5fmask_19745',['ADC_RESULT_MASK',['../adc_8h.html#afe06255d0d878f4218e65dabbc21c87a',1,'adc.h']]],
['adc_5fresult_5foffset_19746',['ADC_RESULT_OFFSET',['../adc_8h.html#ac871a3e42abade7bd3d2dcbf3172ce95',1,'adc.h']]],
['adc_5fresult_5fresetvalue_19747',['ADC_RESULT_RESETVALUE',['../adc_8h.html#ad872ef8122ad10f58a6546072b5157c4',1,'adc.h']]],
['adc_5fresult_5fresult_5fpos_19748',['ADC_RESULT_RESULT_Pos',['../adc_8h.html#a32c8bee520d5fa7ad752a631166250f8',1,'adc.h']]],
['adc_5fsampctrl_5fmask_19749',['ADC_SAMPCTRL_MASK',['../adc_8h.html#a707778fb7e26c8edc7cceb8646a9c1d9',1,'adc.h']]],
['adc_5fsampctrl_5foffcomp_5fpos_19750',['ADC_SAMPCTRL_OFFCOMP_Pos',['../adc_8h.html#a775b34af95139f2d40e4c4aa6f40a261',1,'adc.h']]],
['adc_5fsampctrl_5foffset_19751',['ADC_SAMPCTRL_OFFSET',['../adc_8h.html#a0f78e7dbb17e6c729ec0697ff8880d1b',1,'adc.h']]],
['adc_5fsampctrl_5fresetvalue_19752',['ADC_SAMPCTRL_RESETVALUE',['../adc_8h.html#aa58117337a5b6c69aef9958ffe3d900a',1,'adc.h']]],
['adc_5fsampctrl_5fsamplen_5fpos_19753',['ADC_SAMPCTRL_SAMPLEN_Pos',['../adc_8h.html#abcdb0d22f5636adbd1f1ef93dbdb3861',1,'adc.h']]],
['adc_5fstatus_5fadcbusy_5fpos_19754',['ADC_STATUS_ADCBUSY_Pos',['../adc_8h.html#ac4a40e9539871b919455a46c51617200',1,'adc.h']]],
['adc_5fstatus_5fmask_19755',['ADC_STATUS_MASK',['../adc_8h.html#a467db33a24f7f8143bd25064921cc59e',1,'adc.h']]],
['adc_5fstatus_5foffset_19756',['ADC_STATUS_OFFSET',['../adc_8h.html#a92a9328e87712b738d626d0b9a6c5a22',1,'adc.h']]],
['adc_5fstatus_5fresetvalue_19757',['ADC_STATUS_RESETVALUE',['../adc_8h.html#af3b1f7a8d7a87c1dc328ef7b30109101',1,'adc.h']]],
['adc_5fstatus_5fwcc_5fpos_19758',['ADC_STATUS_WCC_Pos',['../adc_8h.html#a0e08c44dada0ac2b35b1f257297bfe61',1,'adc.h']]],
['adc_5fswtrig_5fflush_5fpos_19759',['ADC_SWTRIG_FLUSH_Pos',['../adc_8h.html#a5b316b5ec857239352dbb1c1701bacc2',1,'adc.h']]],
['adc_5fswtrig_5fmask_19760',['ADC_SWTRIG_MASK',['../adc_8h.html#a03f8a2bcff30a481d12adb178c1104f0',1,'adc.h']]],
['adc_5fswtrig_5foffset_19761',['ADC_SWTRIG_OFFSET',['../adc_8h.html#a31069581e8251f1eeffcb1933288b927',1,'adc.h']]],
['adc_5fswtrig_5fresetvalue_19762',['ADC_SWTRIG_RESETVALUE',['../adc_8h.html#a49c6b5f14c508b44648ebbd35407257a',1,'adc.h']]],
['adc_5fswtrig_5fstart_5fpos_19763',['ADC_SWTRIG_START_Pos',['../adc_8h.html#a12409e6821f87b501654671ff5dcae55',1,'adc.h']]],
['adc_5fsyncbusy_5favgctrl_5fpos_19764',['ADC_SYNCBUSY_AVGCTRL_Pos',['../adc_8h.html#ae102defd7714611cfece5ca35f9ebf68',1,'adc.h']]],
['adc_5fsyncbusy_5fctrlb_5fpos_19765',['ADC_SYNCBUSY_CTRLB_Pos',['../adc_8h.html#ad0e24d8062abcbc37140f6fa93515614',1,'adc.h']]],
['adc_5fsyncbusy_5fenable_5fpos_19766',['ADC_SYNCBUSY_ENABLE_Pos',['../adc_8h.html#a4c2fa17f8421db2f1a40c2c68395f3a4',1,'adc.h']]],
['adc_5fsyncbusy_5fgaincorr_5fpos_19767',['ADC_SYNCBUSY_GAINCORR_Pos',['../adc_8h.html#a5414d2085af1455400787e2cb2bace4c',1,'adc.h']]],
['adc_5fsyncbusy_5finputctrl_5fpos_19768',['ADC_SYNCBUSY_INPUTCTRL_Pos',['../adc_8h.html#addec2d03757fac1e6e3ba43ca28c58d3',1,'adc.h']]],
['adc_5fsyncbusy_5fmask_19769',['ADC_SYNCBUSY_MASK',['../adc_8h.html#a4cb082a6d2bd0c81821acfb031bfa964',1,'adc.h']]],
['adc_5fsyncbusy_5foffset_19770',['ADC_SYNCBUSY_OFFSET',['../adc_8h.html#a428ab5147cbdfc744547b636ee655019',1,'adc.h']]],
['adc_5fsyncbusy_5foffsetcorr_5fpos_19771',['ADC_SYNCBUSY_OFFSETCORR_Pos',['../adc_8h.html#aac3ac5e8cc9af9b37763d6ce8bdf4b9d',1,'adc.h']]],
['adc_5fsyncbusy_5frefctrl_5fpos_19772',['ADC_SYNCBUSY_REFCTRL_Pos',['../adc_8h.html#a28251242c1ae84afd61c9d4e8176c22f',1,'adc.h']]],
['adc_5fsyncbusy_5fresetvalue_19773',['ADC_SYNCBUSY_RESETVALUE',['../adc_8h.html#a92a4b3b998d1e505c1c2a5471d7baebf',1,'adc.h']]],
['adc_5fsyncbusy_5fsampctrl_5fpos_19774',['ADC_SYNCBUSY_SAMPCTRL_Pos',['../adc_8h.html#a652f845bc3b2669609b54d7617776ea4',1,'adc.h']]],
['adc_5fsyncbusy_5fswrst_5fpos_19775',['ADC_SYNCBUSY_SWRST_Pos',['../adc_8h.html#a23c4a8c88c12b7f527fabc3247556fc9',1,'adc.h']]],
['adc_5fsyncbusy_5fswtrig_5fpos_19776',['ADC_SYNCBUSY_SWTRIG_Pos',['../adc_8h.html#a96ff7ac5786798c905ef5c05943b04a5',1,'adc.h']]],
['adc_5fsyncbusy_5fwinlt_5fpos_19777',['ADC_SYNCBUSY_WINLT_Pos',['../adc_8h.html#a5cfecaf1885baf8811066f15033c5de7',1,'adc.h']]],
['adc_5fsyncbusy_5fwinut_5fpos_19778',['ADC_SYNCBUSY_WINUT_Pos',['../adc_8h.html#a2e2c4deff72ea7179fd53d134e79c5c4',1,'adc.h']]],
['adc_5fwinlt_5fmask_19779',['ADC_WINLT_MASK',['../adc_8h.html#abb4377b4ea319484fcd2305d2fe98641',1,'adc.h']]],
['adc_5fwinlt_5foffset_19780',['ADC_WINLT_OFFSET',['../adc_8h.html#a8fd9cf580953e45e7f7b05deb9d5ac48',1,'adc.h']]],
['adc_5fwinlt_5fresetvalue_19781',['ADC_WINLT_RESETVALUE',['../adc_8h.html#a3f5d0deb6bcb3a591e59ab0b85dc048b',1,'adc.h']]],
['adc_5fwinlt_5fwinlt_5fpos_19782',['ADC_WINLT_WINLT_Pos',['../adc_8h.html#a8d8679254a2a5ba70a7378316be2b2e2',1,'adc.h']]],
['adc_5fwinut_5fmask_19783',['ADC_WINUT_MASK',['../adc_8h.html#a2e84ef9dbc511208c72848d87713a04b',1,'adc.h']]],
['adc_5fwinut_5foffset_19784',['ADC_WINUT_OFFSET',['../adc_8h.html#acd3f5a80c82eef920b9ebb22f630d852',1,'adc.h']]],
['adc_5fwinut_5fresetvalue_19785',['ADC_WINUT_RESETVALUE',['../adc_8h.html#af85342ba210b0c7797fceb49e8d7c054',1,'adc.h']]],
['adc_5fwinut_5fwinut_5fpos_19786',['ADC_WINUT_WINUT_Pos',['../adc_8h.html#a568d14d8329991a576f1cf45560320e8',1,'adc.h']]],
['aes_19787',['AES',['../same54n19a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54n19a.h'],['../same54n20a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54n20a.h'],['../same54p19a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54p19a.h'],['../same54p20a_8h.html#a5412ac9ff64f4ab68c289a0da739eaef',1,'AES():&#160;same54p20a.h']]],
['aes_5fciplen_5fmask_19788',['AES_CIPLEN_MASK',['../component_2aes_8h.html#a3d019b62fbb83ca231956680099ae1c5',1,'aes.h']]],
['aes_5fciplen_5foffset_19789',['AES_CIPLEN_OFFSET',['../component_2aes_8h.html#a5f0cafe0945c9251a1f82a2a53639e53',1,'aes.h']]],
['aes_5fciplen_5fresetvalue_19790',['AES_CIPLEN_RESETVALUE',['../component_2aes_8h.html#a2a5bed37ca6f471a82065f70da4e3a2d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcbc_5fval_19791',['AES_CTRLA_AESMODE_CBC_Val',['../component_2aes_8h.html#a3e632500edc9f4433c6a8da5a11c4952',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fccm_5fval_19792',['AES_CTRLA_AESMODE_CCM_Val',['../component_2aes_8h.html#ab9f33ac4176e8b315c485c6d7c5c2aba',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcfb_5fval_19793',['AES_CTRLA_AESMODE_CFB_Val',['../component_2aes_8h.html#aebc8a6143cb676d80ecc39480c3cbdbc',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fcounter_5fval_19794',['AES_CTRLA_AESMODE_COUNTER_Val',['../component_2aes_8h.html#a0834f927bf839db0687cc2ab5552bc8d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fecb_5fval_19795',['AES_CTRLA_AESMODE_ECB_Val',['../component_2aes_8h.html#a098b438a3d941936c5ace9c0f33b717d',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fgcm_5fval_19796',['AES_CTRLA_AESMODE_GCM_Val',['../component_2aes_8h.html#a92053e62c1213c2c1a349f4f120d98f8',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fofb_5fval_19797',['AES_CTRLA_AESMODE_OFB_Val',['../component_2aes_8h.html#a0b9db4f304e59dc4cf2bcca6542f3bb0',1,'aes.h']]],
['aes_5fctrla_5faesmode_5fpos_19798',['AES_CTRLA_AESMODE_Pos',['../component_2aes_8h.html#a34f19d66a686bd490bdca835622d028c',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f128bit_5fval_19799',['AES_CTRLA_CFBS_128BIT_Val',['../component_2aes_8h.html#a6711abfcb46c4e4ef14b6ececc87601e',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f16bit_5fval_19800',['AES_CTRLA_CFBS_16BIT_Val',['../component_2aes_8h.html#a636c68a01504ee27108961d0c7b92f7e',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f32bit_5fval_19801',['AES_CTRLA_CFBS_32BIT_Val',['../component_2aes_8h.html#a9255981a1360616c0511a412c269a0ea',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f64bit_5fval_19802',['AES_CTRLA_CFBS_64BIT_Val',['../component_2aes_8h.html#a22b1b7d87e83ae9c9f7d7215186b4d4c',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5f8bit_5fval_19803',['AES_CTRLA_CFBS_8BIT_Val',['../component_2aes_8h.html#a4e46d835d21bf301e949c68b7ea15e3a',1,'aes.h']]],
['aes_5fctrla_5fcfbs_5fpos_19804',['AES_CTRLA_CFBS_Pos',['../component_2aes_8h.html#aed0081b2f8068882e43b04bd39c0558f',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fdec_5fval_19805',['AES_CTRLA_CIPHER_DEC_Val',['../component_2aes_8h.html#a01464e8411876289eaa270378dcd0d73',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fenc_5fval_19806',['AES_CTRLA_CIPHER_ENC_Val',['../component_2aes_8h.html#a6a4b8a6bf66c02e172f0a765738ffe58',1,'aes.h']]],
['aes_5fctrla_5fcipher_5fpos_19807',['AES_CTRLA_CIPHER_Pos',['../component_2aes_8h.html#a25c49e1e3486f33caa38cdffaecd2024',1,'aes.h']]],
['aes_5fctrla_5fctype_5fpos_19808',['AES_CTRLA_CTYPE_Pos',['../component_2aes_8h.html#a0bfd0c90e0ee148b5a49d5d732a88caa',1,'aes.h']]],
['aes_5fctrla_5fenable_5fpos_19809',['AES_CTRLA_ENABLE_Pos',['../component_2aes_8h.html#a852dce37626d080c1920f4a78fd39082',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5flast_5fval_19810',['AES_CTRLA_KEYGEN_LAST_Val',['../component_2aes_8h.html#afb04e48eea55b84ff8e4b9d134929ed6',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5fnone_5fval_19811',['AES_CTRLA_KEYGEN_NONE_Val',['../component_2aes_8h.html#a5546431e3132fd71abad6972799e9d92',1,'aes.h']]],
['aes_5fctrla_5fkeygen_5fpos_19812',['AES_CTRLA_KEYGEN_Pos',['../component_2aes_8h.html#a55ca29e4273692d315f1b00528d26e66',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f128bit_5fval_19813',['AES_CTRLA_KEYSIZE_128BIT_Val',['../component_2aes_8h.html#a87b5b6b70f9a922464332015e0dbf3d6',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f192bit_5fval_19814',['AES_CTRLA_KEYSIZE_192BIT_Val',['../component_2aes_8h.html#ad67bdc4b7851877250d1b90d8da52565',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5f256bit_5fval_19815',['AES_CTRLA_KEYSIZE_256BIT_Val',['../component_2aes_8h.html#af068e9e0d64cf0da58b4813e41ae2e0a',1,'aes.h']]],
['aes_5fctrla_5fkeysize_5fpos_19816',['AES_CTRLA_KEYSIZE_Pos',['../component_2aes_8h.html#a48a5ec79fc1a2dddbed967d4322fb98f',1,'aes.h']]],
['aes_5fctrla_5flod_5flast_5fval_19817',['AES_CTRLA_LOD_LAST_Val',['../component_2aes_8h.html#a47c2d51199c68923a8d27506dde9ef05',1,'aes.h']]],
['aes_5fctrla_5flod_5fnone_5fval_19818',['AES_CTRLA_LOD_NONE_Val',['../component_2aes_8h.html#ab0e6011c9e47708ecbbc00f02a0d7790',1,'aes.h']]],
['aes_5fctrla_5flod_5fpos_19819',['AES_CTRLA_LOD_Pos',['../component_2aes_8h.html#af6e4b7aee8ec535dfaf64b94f3df787a',1,'aes.h']]],
['aes_5fctrla_5fmask_19820',['AES_CTRLA_MASK',['../component_2aes_8h.html#ad3d6155566850d559b4d5beb3da78125',1,'aes.h']]],
['aes_5fctrla_5foffset_19821',['AES_CTRLA_OFFSET',['../component_2aes_8h.html#a90e931857a085af986881f27722999e4',1,'aes.h']]],
['aes_5fctrla_5fresetvalue_19822',['AES_CTRLA_RESETVALUE',['../component_2aes_8h.html#ad9c6d6bda70f75bbf70b8e1b5acba337',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fauto_5fval_19823',['AES_CTRLA_STARTMODE_AUTO_Val',['../component_2aes_8h.html#a6844c348979b9d67156784c1204e81c1',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fmanual_5fval_19824',['AES_CTRLA_STARTMODE_MANUAL_Val',['../component_2aes_8h.html#ad7e65c90f21d677de34526fe70156ce4',1,'aes.h']]],
['aes_5fctrla_5fstartmode_5fpos_19825',['AES_CTRLA_STARTMODE_Pos',['../component_2aes_8h.html#a785ffe6bd686b87bd010931ffd325043',1,'aes.h']]],
['aes_5fctrla_5fswrst_5fpos_19826',['AES_CTRLA_SWRST_Pos',['../component_2aes_8h.html#ad701aaa88264f53b847405a38b088351',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fnone_5fval_19827',['AES_CTRLA_XORKEY_NONE_Val',['../component_2aes_8h.html#a3de48ab257592839780f58f8ce29c925',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fpos_19828',['AES_CTRLA_XORKEY_Pos',['../component_2aes_8h.html#ada84c7624ddefe20ad58bb1cb83e6a10',1,'aes.h']]],
['aes_5fctrla_5fxorkey_5fxor_5fval_19829',['AES_CTRLA_XORKEY_XOR_Val',['../component_2aes_8h.html#a8dd7975a44849ac7545db404d7ca0934',1,'aes.h']]],
['aes_5fctrlb_5feom_5fpos_19830',['AES_CTRLB_EOM_Pos',['../component_2aes_8h.html#aa7b1c7532b75cda1bb64fe22119fc29f',1,'aes.h']]],
['aes_5fctrlb_5fgfmul_5fpos_19831',['AES_CTRLB_GFMUL_Pos',['../component_2aes_8h.html#a1d4dac849fc2f226972fc7915ce2bb9a',1,'aes.h']]],
['aes_5fctrlb_5fmask_19832',['AES_CTRLB_MASK',['../component_2aes_8h.html#a405604e13781e19236f362bbbbebfd57',1,'aes.h']]],
['aes_5fctrlb_5fnewmsg_5fpos_19833',['AES_CTRLB_NEWMSG_Pos',['../component_2aes_8h.html#a962d612a59f8ac1b633fb52f2423a0a4',1,'aes.h']]],
['aes_5fctrlb_5foffset_19834',['AES_CTRLB_OFFSET',['../component_2aes_8h.html#a2f786e95894df5f05ff890a6748b7407',1,'aes.h']]],
['aes_5fctrlb_5fresetvalue_19835',['AES_CTRLB_RESETVALUE',['../component_2aes_8h.html#ae33dc747714673fa684e0b0775460cf2',1,'aes.h']]],
['aes_5fctrlb_5fstart_5fpos_19836',['AES_CTRLB_START_Pos',['../component_2aes_8h.html#a5cef25ba4d85f57f405363a1d79fc7cd',1,'aes.h']]],
['aes_5fdatabufptr_5findataptr_5fpos_19837',['AES_DATABUFPTR_INDATAPTR_Pos',['../component_2aes_8h.html#a915d567de3a6921a7af37e419cbfb473',1,'aes.h']]],
['aes_5fdatabufptr_5fmask_19838',['AES_DATABUFPTR_MASK',['../component_2aes_8h.html#a976dbeb7c942e941c35c792b909beb45',1,'aes.h']]],
['aes_5fdatabufptr_5foffset_19839',['AES_DATABUFPTR_OFFSET',['../component_2aes_8h.html#a12823b5739ecdb1fdd676b6d5a8e701c',1,'aes.h']]],
['aes_5fdatabufptr_5fresetvalue_19840',['AES_DATABUFPTR_RESETVALUE',['../component_2aes_8h.html#a1318e685042491228cf65adbc20e847d',1,'aes.h']]],
['aes_5fdbgctrl_5fdbgrun_5fpos_19841',['AES_DBGCTRL_DBGRUN_Pos',['../component_2aes_8h.html#ab28725525ff73bde31c6d28b588ba0d0',1,'aes.h']]],
['aes_5fdbgctrl_5fmask_19842',['AES_DBGCTRL_MASK',['../component_2aes_8h.html#ae63e1673c0101507547e6ae1571013d0',1,'aes.h']]],
['aes_5fdbgctrl_5foffset_19843',['AES_DBGCTRL_OFFSET',['../component_2aes_8h.html#a35726f74c47a7e351127014531da2f47',1,'aes.h']]],
['aes_5fdbgctrl_5fresetvalue_19844',['AES_DBGCTRL_RESETVALUE',['../component_2aes_8h.html#a365d4b4068e5939248cd6302b995a413',1,'aes.h']]],
['aes_5fghash_5fmask_19845',['AES_GHASH_MASK',['../component_2aes_8h.html#a3d0d670007ecc74cf84ba2442017a466',1,'aes.h']]],
['aes_5fghash_5foffset_19846',['AES_GHASH_OFFSET',['../component_2aes_8h.html#abdc82d19fc90c68e64609ef90ba0346d',1,'aes.h']]],
['aes_5fghash_5fresetvalue_19847',['AES_GHASH_RESETVALUE',['../component_2aes_8h.html#a2e6d76c3282e89760281d4f1325c3531',1,'aes.h']]],
['aes_5fhashkey_5fmask_19848',['AES_HASHKEY_MASK',['../component_2aes_8h.html#a83df005ae089b7f19cf8ea39c15de70f',1,'aes.h']]],
['aes_5fhashkey_5foffset_19849',['AES_HASHKEY_OFFSET',['../component_2aes_8h.html#a3de03bc2ec0bd79c019df510cea8fea6',1,'aes.h']]],
['aes_5fhashkey_5fresetvalue_19850',['AES_HASHKEY_RESETVALUE',['../component_2aes_8h.html#aa08592d15b578a0cadb9dbe80b6a861c',1,'aes.h']]],
['aes_5findata_5fmask_19851',['AES_INDATA_MASK',['../component_2aes_8h.html#a180788b3469c7f201c64f2ab908c0bae',1,'aes.h']]],
['aes_5findata_5foffset_19852',['AES_INDATA_OFFSET',['../component_2aes_8h.html#a44da44594903a13541f89f88b344de14',1,'aes.h']]],
['aes_5findata_5fresetvalue_19853',['AES_INDATA_RESETVALUE',['../component_2aes_8h.html#aa7300347777050df07b81c1aeab9c53f',1,'aes.h']]],
['aes_5finst_5fnum_19854',['AES_INST_NUM',['../same54n19a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afa3570545a5993b8b8e6d2ec9c798a19',1,'AES_INST_NUM():&#160;same54p20a.h']]],
['aes_5finsts_19855',['AES_INSTS',['../same54n19a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a799fafc8527f7a42fbeaffe5843604ca',1,'AES_INSTS():&#160;same54p20a.h']]],
['aes_5fintenclr_5fenccmp_5fpos_19856',['AES_INTENCLR_ENCCMP_Pos',['../component_2aes_8h.html#a9f0b2df1c42584d5ac86a900ad27543a',1,'aes.h']]],
['aes_5fintenclr_5fgfmcmp_5fpos_19857',['AES_INTENCLR_GFMCMP_Pos',['../component_2aes_8h.html#a69c8e4f1c9a1bb1ed6eade39cb48715b',1,'aes.h']]],
['aes_5fintenclr_5fmask_19858',['AES_INTENCLR_MASK',['../component_2aes_8h.html#a28f6072f3596258d9dc75220163b45bd',1,'aes.h']]],
['aes_5fintenclr_5foffset_19859',['AES_INTENCLR_OFFSET',['../component_2aes_8h.html#a64c9898fc7d9f702e0c1b3764fd70c28',1,'aes.h']]],
['aes_5fintenclr_5fresetvalue_19860',['AES_INTENCLR_RESETVALUE',['../component_2aes_8h.html#aa9b0902c4985f9ed2a378655d8e9af06',1,'aes.h']]],
['aes_5fintenset_5fenccmp_5fpos_19861',['AES_INTENSET_ENCCMP_Pos',['../component_2aes_8h.html#a62a56a2e423ef41dd36947677b3be1e6',1,'aes.h']]],
['aes_5fintenset_5fgfmcmp_5fpos_19862',['AES_INTENSET_GFMCMP_Pos',['../component_2aes_8h.html#aafaf0866499eeb2c3ffadc68c2e99bb6',1,'aes.h']]],
['aes_5fintenset_5fmask_19863',['AES_INTENSET_MASK',['../component_2aes_8h.html#ac931ed9e37384df0876640e451699362',1,'aes.h']]],
['aes_5fintenset_5foffset_19864',['AES_INTENSET_OFFSET',['../component_2aes_8h.html#a2c2335c63929a0ec59348053f8a4a6b8',1,'aes.h']]],
['aes_5fintenset_5fresetvalue_19865',['AES_INTENSET_RESETVALUE',['../component_2aes_8h.html#ac6efb10bfdf13c1a656ce565e3a22c6c',1,'aes.h']]],
['aes_5fintflag_5fenccmp_5fpos_19866',['AES_INTFLAG_ENCCMP_Pos',['../component_2aes_8h.html#a275779488d387fbd93b7b368e148caa6',1,'aes.h']]],
['aes_5fintflag_5fgfmcmp_5fpos_19867',['AES_INTFLAG_GFMCMP_Pos',['../component_2aes_8h.html#a331dfca6188312fceed2ee7acd16a184',1,'aes.h']]],
['aes_5fintflag_5fmask_19868',['AES_INTFLAG_MASK',['../component_2aes_8h.html#adc21177c51fa0ebba980cb432571f2a3',1,'aes.h']]],
['aes_5fintflag_5foffset_19869',['AES_INTFLAG_OFFSET',['../component_2aes_8h.html#a608bb8f3da491602172f6599ca53e82f',1,'aes.h']]],
['aes_5fintflag_5fresetvalue_19870',['AES_INTFLAG_RESETVALUE',['../component_2aes_8h.html#aaf1ed5becb953ddbe6047832971a78d1',1,'aes.h']]],
['aes_5fintvectv_5fmask_19871',['AES_INTVECTV_MASK',['../component_2aes_8h.html#aec52e9f6855e8ef708bccb15554f07f2',1,'aes.h']]],
['aes_5fintvectv_5foffset_19872',['AES_INTVECTV_OFFSET',['../component_2aes_8h.html#a7294686ad7460e413304e1149f3041f4',1,'aes.h']]],
['aes_5fintvectv_5fresetvalue_19873',['AES_INTVECTV_RESETVALUE',['../component_2aes_8h.html#af925266e565155a6b467e88c6341c270',1,'aes.h']]],
['aes_5fkeyword_5fmask_19874',['AES_KEYWORD_MASK',['../component_2aes_8h.html#a5c4c97c4c5130e8f70a9c1a90a844c40',1,'aes.h']]],
['aes_5fkeyword_5foffset_19875',['AES_KEYWORD_OFFSET',['../component_2aes_8h.html#ad43961e3649400d0297ac0bf45f66b51',1,'aes.h']]],
['aes_5fkeyword_5fresetvalue_19876',['AES_KEYWORD_RESETVALUE',['../component_2aes_8h.html#a1230f0ffc6944df9a46528ed89a81833',1,'aes.h']]],
['aes_5frandseed_5fmask_19877',['AES_RANDSEED_MASK',['../component_2aes_8h.html#ad290ffaf9510c1afd29efcbccd4768b1',1,'aes.h']]],
['aes_5frandseed_5foffset_19878',['AES_RANDSEED_OFFSET',['../component_2aes_8h.html#a66e87bea67036acb95cd23de742c0022',1,'aes.h']]],
['aes_5frandseed_5fresetvalue_19879',['AES_RANDSEED_RESETVALUE',['../component_2aes_8h.html#a455525ebfad19c0e2ceb82ef10424d25',1,'aes.h']]]
];

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

@ -1,411 +1,411 @@
var searchData=
[
['usb_30523',['USB',['../same54n19a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54n19a.h'],['../same54n20a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54n20a.h'],['../same54p19a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54p19a.h'],['../same54p20a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54p20a.h']]],
['usb_5fctrla_5fenable_5fpos_30524',['USB_CTRLA_ENABLE_Pos',['../component_2usb_8h.html#a08727ee5c4d25daaba1d0ac058be6e21',1,'usb.h']]],
['usb_5fctrla_5fmask_30525',['USB_CTRLA_MASK',['../component_2usb_8h.html#a27c6edc224dc16f34922ad28a3bfd107',1,'usb.h']]],
['usb_5fctrla_5fmode_5fdevice_5fval_30526',['USB_CTRLA_MODE_DEVICE_Val',['../component_2usb_8h.html#a4105f7a7046ef185465586b7e4a44909',1,'usb.h']]],
['usb_5fctrla_5fmode_5fhost_5fval_30527',['USB_CTRLA_MODE_HOST_Val',['../component_2usb_8h.html#aedee7c0852094d9fcbd25760a4330ef3',1,'usb.h']]],
['usb_5fctrla_5fmode_5fpos_30528',['USB_CTRLA_MODE_Pos',['../component_2usb_8h.html#a6261dc0af6c78b43e18d13ffeeccac0f',1,'usb.h']]],
['usb_5fctrla_5foffset_30529',['USB_CTRLA_OFFSET',['../component_2usb_8h.html#a5f5c1d5b9e987a42f466e3a04bdae965',1,'usb.h']]],
['usb_5fctrla_5fresetvalue_30530',['USB_CTRLA_RESETVALUE',['../component_2usb_8h.html#ac56d29d49ee12585490772baebdd1f17',1,'usb.h']]],
['usb_5fctrla_5frunstdby_5fpos_30531',['USB_CTRLA_RUNSTDBY_Pos',['../component_2usb_8h.html#a2b12657c13e6fd8ac186e7fb163f1f53',1,'usb.h']]],
['usb_5fctrla_5fswrst_5fpos_30532',['USB_CTRLA_SWRST_Pos',['../component_2usb_8h.html#a14c38886c57df1a80b35bc7803efa91b',1,'usb.h']]],
['usb_5fdescadd_5fdescadd_5fpos_30533',['USB_DESCADD_DESCADD_Pos',['../component_2usb_8h.html#a1e22175ea63ec08b9d342c89616bd45c',1,'usb.h']]],
['usb_5fdescadd_5fmask_30534',['USB_DESCADD_MASK',['../component_2usb_8h.html#a0e43ff3fa7ea7f6f84e11dbfb959cbb5',1,'usb.h']]],
['usb_5fdescadd_5foffset_30535',['USB_DESCADD_OFFSET',['../component_2usb_8h.html#a4ba311333b0e09b0d5953f939a2f34a6',1,'usb.h']]],
['usb_5fdescadd_5fresetvalue_30536',['USB_DESCADD_RESETVALUE',['../component_2usb_8h.html#a7020ff7516bd23dbe929107815c18ad5',1,'usb.h']]],
['usb_5fdevice_5faddr_5faddr_5fpos_30537',['USB_DEVICE_ADDR_ADDR_Pos',['../component_2usb_8h.html#af11069ea7afed69a6206aed4d5127dab',1,'usb.h']]],
['usb_5fdevice_5faddr_5fmask_30538',['USB_DEVICE_ADDR_MASK',['../component_2usb_8h.html#a8ee19e5cf7a507960a4467b29b526a51',1,'usb.h']]],
['usb_5fdevice_5faddr_5foffset_30539',['USB_DEVICE_ADDR_OFFSET',['../component_2usb_8h.html#a39bb1fdc4210d8fef2114560119b9655',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fdetach_5fpos_30540',['USB_DEVICE_CTRLB_DETACH_Pos',['../component_2usb_8h.html#ad8373344db8aa0727646a15cfc34ebd3',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fgnak_5fpos_30541',['USB_DEVICE_CTRLB_GNAK_Pos',['../component_2usb_8h.html#a0ed47cb7457c19bd0a460ec4f89f1d15',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fack_5fval_30542',['USB_DEVICE_CTRLB_LPMHDSK_ACK_Val',['../component_2usb_8h.html#a579aa1294380225d109d6ee2a2d4727d',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fno_5fval_30543',['USB_DEVICE_CTRLB_LPMHDSK_NO_Val',['../component_2usb_8h.html#aead1ace8b3c95e077a583fc16f732901',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fnyet_5fval_30544',['USB_DEVICE_CTRLB_LPMHDSK_NYET_Val',['../component_2usb_8h.html#a7f5bfd93b23484ad9c4619c32ebe8389',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fpos_30545',['USB_DEVICE_CTRLB_LPMHDSK_Pos',['../component_2usb_8h.html#a7bbe2998f650533b2cc87bb63f7a28de',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fstall_5fval_30546',['USB_DEVICE_CTRLB_LPMHDSK_STALL_Val',['../component_2usb_8h.html#a249000ba2e98b6207cbb2176465bf154',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fmask_30547',['USB_DEVICE_CTRLB_MASK',['../component_2usb_8h.html#af8a3a39289b6927db2d06bad0f135d42',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fnreply_5fpos_30548',['USB_DEVICE_CTRLB_NREPLY_Pos',['../component_2usb_8h.html#a6a470d7e7f4e5e0560d525d903014d26',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5foffset_30549',['USB_DEVICE_CTRLB_OFFSET',['../component_2usb_8h.html#a028b62303469fa5a44be441bedd526ee',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fopmode2_5fpos_30550',['USB_DEVICE_CTRLB_OPMODE2_Pos',['../component_2usb_8h.html#a11d10c65b87c4d2cbb942f88b544fe6c',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fresetvalue_30551',['USB_DEVICE_CTRLB_RESETVALUE',['../component_2usb_8h.html#ae17314f9012df5bc745f010f0ebbfb4c',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5ffs_5fval_30552',['USB_DEVICE_CTRLB_SPDCONF_FS_Val',['../component_2usb_8h.html#a3be2b809af4ab86f679b64a276ff0cb9',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fhs_5fval_30553',['USB_DEVICE_CTRLB_SPDCONF_HS_Val',['../component_2usb_8h.html#af86110a47503261b362a0efa2d2c83a3',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fhstm_5fval_30554',['USB_DEVICE_CTRLB_SPDCONF_HSTM_Val',['../component_2usb_8h.html#adbc04e8c5b3f542b3f498c7397f9700d',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fls_5fval_30555',['USB_DEVICE_CTRLB_SPDCONF_LS_Val',['../component_2usb_8h.html#a8734dfea787a3ab59ab0704d1a81ca76',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fpos_30556',['USB_DEVICE_CTRLB_SPDCONF_Pos',['../component_2usb_8h.html#ab5b9688f10e2ab8aa52f42628139b6eb',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstj_5fpos_30557',['USB_DEVICE_CTRLB_TSTJ_Pos',['../component_2usb_8h.html#a72afe21fb229ef70ff43efbf8839402e',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstk_5fpos_30558',['USB_DEVICE_CTRLB_TSTK_Pos',['../component_2usb_8h.html#a3a050963c919f7038957a5de784cd02a',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstpckt_5fpos_30559',['USB_DEVICE_CTRLB_TSTPCKT_Pos',['../component_2usb_8h.html#a44e6b103fc23a7a858b5d6b5db472147',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fuprsm_5fpos_30560',['USB_DEVICE_CTRLB_UPRSM_Pos',['../component_2usb_8h.html#ab93be7942d56b8a9b058a68210fc8564',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fadden_5fpos_30561',['USB_DEVICE_DADD_ADDEN_Pos',['../component_2usb_8h.html#ab751763b1246001ab6b78edeed0ebaef',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fdadd_5fpos_30562',['USB_DEVICE_DADD_DADD_Pos',['../component_2usb_8h.html#ac1a51843cc66433e2fdcbf772de3363f',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fmask_30563',['USB_DEVICE_DADD_MASK',['../component_2usb_8h.html#ae61b79de5fb3ef4993711863ae493788',1,'usb.h']]],
['usb_5fdevice_5fdadd_5foffset_30564',['USB_DEVICE_DADD_OFFSET',['../component_2usb_8h.html#a0f3542ac45dbe09bb59c4ee4e78d09d0',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fresetvalue_30565',['USB_DEVICE_DADD_RESETVALUE',['../component_2usb_8h.html#ae3708710b894fe84bcf61a4ea6552adb',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5feptype0_5fpos_30566',['USB_DEVICE_EPCFG_EPTYPE0_Pos',['../component_2usb_8h.html#a28367740a0b3944537370790bebe7fa1',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5feptype1_5fpos_30567',['USB_DEVICE_EPCFG_EPTYPE1_Pos',['../component_2usb_8h.html#a06ca795ee6719bf794d17d0128492641',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fmask_30568',['USB_DEVICE_EPCFG_MASK',['../component_2usb_8h.html#af641b5bdf032e6a48b441fcc1796dbe9',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fnyetdis_5fpos_30569',['USB_DEVICE_EPCFG_NYETDIS_Pos',['../component_2usb_8h.html#a307ba7e6a8adf340d8c9b713d8301f7b',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5foffset_30570',['USB_DEVICE_EPCFG_OFFSET',['../component_2usb_8h.html#a9b0c23ce6c53d2d843bf55d2577b832e',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fresetvalue_30571',['USB_DEVICE_EPCFG_RESETVALUE',['../component_2usb_8h.html#a038064f19c709c8375d5f31b6750192d',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fmask_30572',['USB_DEVICE_EPINTENCLR_MASK',['../component_2usb_8h.html#a4f401cb3488fd905cc6023234168ec4b',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5foffset_30573',['USB_DEVICE_EPINTENCLR_OFFSET',['../component_2usb_8h.html#a1ee17531a6ece45480070fa0250ea40e',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fresetvalue_30574',['USB_DEVICE_EPINTENCLR_RESETVALUE',['../component_2usb_8h.html#abf49468e9c86ee59100adb4a9c864037',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5frxstp_5fpos_30575',['USB_DEVICE_EPINTENCLR_RXSTP_Pos',['../component_2usb_8h.html#a717dd911f7368a946720488fc1e24f93',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall0_5fpos_30576',['USB_DEVICE_EPINTENCLR_STALL0_Pos',['../component_2usb_8h.html#a6903cb46049f609160a165ef1c9565e3',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall1_5fpos_30577',['USB_DEVICE_EPINTENCLR_STALL1_Pos',['../component_2usb_8h.html#a82aba719b6fa76577b3a6a6c3a46d4d7',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall_5fpos_30578',['USB_DEVICE_EPINTENCLR_STALL_Pos',['../component_2usb_8h.html#a35b6164a256415dc81652aa38f381584',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt0_5fpos_30579',['USB_DEVICE_EPINTENCLR_TRCPT0_Pos',['../component_2usb_8h.html#a8aecc1fe00ed6d981d1ffe46255b843b',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt1_5fpos_30580',['USB_DEVICE_EPINTENCLR_TRCPT1_Pos',['../component_2usb_8h.html#aae736286cfbb572fcea8e5d21ca08658',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt_5fpos_30581',['USB_DEVICE_EPINTENCLR_TRCPT_Pos',['../component_2usb_8h.html#a049c9272ae142ffc562966dc3debdd0f',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail0_5fpos_30582',['USB_DEVICE_EPINTENCLR_TRFAIL0_Pos',['../component_2usb_8h.html#aa64f9c594de2fffaef8e11b9ecaa0efc',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail1_5fpos_30583',['USB_DEVICE_EPINTENCLR_TRFAIL1_Pos',['../component_2usb_8h.html#ad7709a825ef24e842364c04f9b99e384',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail_5fpos_30584',['USB_DEVICE_EPINTENCLR_TRFAIL_Pos',['../component_2usb_8h.html#afe24801747027f37cd56d49ece4bab43',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fmask_30585',['USB_DEVICE_EPINTENSET_MASK',['../component_2usb_8h.html#a0da53f5c3e1a5c5372830463dbf29d24',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5foffset_30586',['USB_DEVICE_EPINTENSET_OFFSET',['../component_2usb_8h.html#a59337d0066849bd4f531ba5efcd153c9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fresetvalue_30587',['USB_DEVICE_EPINTENSET_RESETVALUE',['../component_2usb_8h.html#a51ab3a66f621e31c55e0676d4d6484a1',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5frxstp_5fpos_30588',['USB_DEVICE_EPINTENSET_RXSTP_Pos',['../component_2usb_8h.html#aee0c453573f16300f3bf3e826ea2d5f2',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall0_5fpos_30589',['USB_DEVICE_EPINTENSET_STALL0_Pos',['../component_2usb_8h.html#a9d4e0dafc83af56ed91e31e805585a56',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall1_5fpos_30590',['USB_DEVICE_EPINTENSET_STALL1_Pos',['../component_2usb_8h.html#ad0872c85a0766d55328337d4692af92f',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall_5fpos_30591',['USB_DEVICE_EPINTENSET_STALL_Pos',['../component_2usb_8h.html#a45cc411fbe61df59b01df54ed2a6b1f9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt0_5fpos_30592',['USB_DEVICE_EPINTENSET_TRCPT0_Pos',['../component_2usb_8h.html#abf4cf455ecc583d83a33aa84c449aa01',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt1_5fpos_30593',['USB_DEVICE_EPINTENSET_TRCPT1_Pos',['../component_2usb_8h.html#a394e561158f6937ffa8fadeef7f71b77',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt_5fpos_30594',['USB_DEVICE_EPINTENSET_TRCPT_Pos',['../component_2usb_8h.html#adf81817960a79838bf93a7575a69fdc9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail0_5fpos_30595',['USB_DEVICE_EPINTENSET_TRFAIL0_Pos',['../component_2usb_8h.html#a5babc1d61845de0e212410e813cc707d',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail1_5fpos_30596',['USB_DEVICE_EPINTENSET_TRFAIL1_Pos',['../component_2usb_8h.html#a1adb76d45620358d886b0cb2d8865017',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail_5fpos_30597',['USB_DEVICE_EPINTENSET_TRFAIL_Pos',['../component_2usb_8h.html#a2c7e0bcecd62a0b34cfe393b85e4ebe5',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fmask_30598',['USB_DEVICE_EPINTFLAG_MASK',['../component_2usb_8h.html#acc06aa4c3afe5c8ed34d70dcca4268d5',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5foffset_30599',['USB_DEVICE_EPINTFLAG_OFFSET',['../component_2usb_8h.html#af2b8aae58f8153bdedd8c97bdcc6dba7',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fresetvalue_30600',['USB_DEVICE_EPINTFLAG_RESETVALUE',['../component_2usb_8h.html#a4f7654b8c508d90ed26d64adfde527cd',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5frxstp_5fpos_30601',['USB_DEVICE_EPINTFLAG_RXSTP_Pos',['../component_2usb_8h.html#a87488ba5bcbc921ba71008b81b1cd3bb',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall0_5fpos_30602',['USB_DEVICE_EPINTFLAG_STALL0_Pos',['../component_2usb_8h.html#a5f7a7c9eba59a7d7c7330575cbe4dd0b',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall1_5fpos_30603',['USB_DEVICE_EPINTFLAG_STALL1_Pos',['../component_2usb_8h.html#ab51a1b5c0bb0578d0b838456fece2269',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall_5fpos_30604',['USB_DEVICE_EPINTFLAG_STALL_Pos',['../component_2usb_8h.html#a4d44d127ab23a62ece4462dd85f3999f',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt0_5fpos_30605',['USB_DEVICE_EPINTFLAG_TRCPT0_Pos',['../component_2usb_8h.html#a1439d482c8801ae43fbbee8a4b145aae',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt1_5fpos_30606',['USB_DEVICE_EPINTFLAG_TRCPT1_Pos',['../component_2usb_8h.html#ac13e74216b00808038841a0c1b3e66da',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt_5fpos_30607',['USB_DEVICE_EPINTFLAG_TRCPT_Pos',['../component_2usb_8h.html#a73d5e8d8d7a40178d9fe0f614dcee874',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail0_5fpos_30608',['USB_DEVICE_EPINTFLAG_TRFAIL0_Pos',['../component_2usb_8h.html#aabd62da03c34c9ed903fccf9eea5c067',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail1_5fpos_30609',['USB_DEVICE_EPINTFLAG_TRFAIL1_Pos',['../component_2usb_8h.html#a14095c21551aee173b4cf51a4752289e',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail_5fpos_30610',['USB_DEVICE_EPINTFLAG_TRFAIL_Pos',['../component_2usb_8h.html#a0dcfe8589fa4aa6a596135a447291807',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint0_5fpos_30611',['USB_DEVICE_EPINTSMRY_EPINT0_Pos',['../component_2usb_8h.html#a229995656d4953a009cf0d87c9ba54a9',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint1_5fpos_30612',['USB_DEVICE_EPINTSMRY_EPINT1_Pos',['../component_2usb_8h.html#a9aec51b5c534b1e33e18a4cf3dd67fab',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint2_5fpos_30613',['USB_DEVICE_EPINTSMRY_EPINT2_Pos',['../component_2usb_8h.html#a2819777cab6b374670d365d26af7c0d7',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint3_5fpos_30614',['USB_DEVICE_EPINTSMRY_EPINT3_Pos',['../component_2usb_8h.html#a954b3c4af55fccee83598255fc7a8dad',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint4_5fpos_30615',['USB_DEVICE_EPINTSMRY_EPINT4_Pos',['../component_2usb_8h.html#acd65c192a664ec0c792e39cf4a1d0364',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint5_5fpos_30616',['USB_DEVICE_EPINTSMRY_EPINT5_Pos',['../component_2usb_8h.html#a8adb1e5204fe7eb1de4e44c263e9a80b',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint6_5fpos_30617',['USB_DEVICE_EPINTSMRY_EPINT6_Pos',['../component_2usb_8h.html#a7bf4a0fb814bbdcfd8d7ade3d73c3deb',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint7_5fpos_30618',['USB_DEVICE_EPINTSMRY_EPINT7_Pos',['../component_2usb_8h.html#ab9f6a1a4a3a47a939dfcc0dcc90ef3af',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint_5fpos_30619',['USB_DEVICE_EPINTSMRY_EPINT_Pos',['../component_2usb_8h.html#a6527db8bbe4ee6e8a5f375af2aa127e4',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fmask_30620',['USB_DEVICE_EPINTSMRY_MASK',['../component_2usb_8h.html#a653ef1be93b032a0f84e8c49b922889b',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5foffset_30621',['USB_DEVICE_EPINTSMRY_OFFSET',['../component_2usb_8h.html#a763dc45e1dc0d080d1d916368316666e',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fresetvalue_30622',['USB_DEVICE_EPINTSMRY_RESETVALUE',['../component_2usb_8h.html#a957354efce4bea8a73511a51398f5cdf',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fbk0rdy_5fpos_30623',['USB_DEVICE_EPSTATUS_BK0RDY_Pos',['../component_2usb_8h.html#a3444dfd4231e1cdd69b5fcda14762313',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fbk1rdy_5fpos_30624',['USB_DEVICE_EPSTATUS_BK1RDY_Pos',['../component_2usb_8h.html#a11d68beeb7b2fdc943fab3307da736d2',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fcurbk_5fpos_30625',['USB_DEVICE_EPSTATUS_CURBK_Pos',['../component_2usb_8h.html#abdd7b3bcfbcec69d09e2291cf07b27f5',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fdtglin_5fpos_30626',['USB_DEVICE_EPSTATUS_DTGLIN_Pos',['../component_2usb_8h.html#a2edb8490965d90125035ffb95395ca1d',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fdtglout_5fpos_30627',['USB_DEVICE_EPSTATUS_DTGLOUT_Pos',['../component_2usb_8h.html#adf49017b5ee61d4ff5ecf15f724e0cb8',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fmask_30628',['USB_DEVICE_EPSTATUS_MASK',['../component_2usb_8h.html#ac8dafe05b0cfb5feff6527ae0e788ea0',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5foffset_30629',['USB_DEVICE_EPSTATUS_OFFSET',['../component_2usb_8h.html#aae7c6b2350f9aa133a56c27d6a24a5a0',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fresetvalue_30630',['USB_DEVICE_EPSTATUS_RESETVALUE',['../component_2usb_8h.html#a0792d8e60e074eb65ec06ce41f142ecf',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq0_5fpos_30631',['USB_DEVICE_EPSTATUS_STALLRQ0_Pos',['../component_2usb_8h.html#aaef5c9dbdf17a2e001dcdf5b4eb9d49c',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq1_5fpos_30632',['USB_DEVICE_EPSTATUS_STALLRQ1_Pos',['../component_2usb_8h.html#a427a259500b80b540fdeece18fb2dbc9',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq_5fpos_30633',['USB_DEVICE_EPSTATUS_STALLRQ_Pos',['../component_2usb_8h.html#a308eb835ae02db80ff3e0071488cf6e3',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fbk0rdy_5fpos_30634',['USB_DEVICE_EPSTATUSCLR_BK0RDY_Pos',['../component_2usb_8h.html#a6d823c951952fea7e08cea525d879ea8',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fbk1rdy_5fpos_30635',['USB_DEVICE_EPSTATUSCLR_BK1RDY_Pos',['../component_2usb_8h.html#a6528b261f4ba46192c788d7a2641557a',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fcurbk_5fpos_30636',['USB_DEVICE_EPSTATUSCLR_CURBK_Pos',['../component_2usb_8h.html#a8fbeed5b3bbc527a317078818e9c02a0',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fdtglin_5fpos_30637',['USB_DEVICE_EPSTATUSCLR_DTGLIN_Pos',['../component_2usb_8h.html#a6045e554a81e2834adc726c084f4f40e',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fdtglout_5fpos_30638',['USB_DEVICE_EPSTATUSCLR_DTGLOUT_Pos',['../component_2usb_8h.html#a428a40dc91d2b4e26bc2bc727d9767ec',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fmask_30639',['USB_DEVICE_EPSTATUSCLR_MASK',['../component_2usb_8h.html#a7541bd01b67281f768176f2d0e03cd97',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5foffset_30640',['USB_DEVICE_EPSTATUSCLR_OFFSET',['../component_2usb_8h.html#ac4dd855fc2a485937b1826f52b1bffe3',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fresetvalue_30641',['USB_DEVICE_EPSTATUSCLR_RESETVALUE',['../component_2usb_8h.html#a1d9620d0a60feafe445c2faa90c97e18',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq0_5fpos_30642',['USB_DEVICE_EPSTATUSCLR_STALLRQ0_Pos',['../component_2usb_8h.html#a4f1e9ab09bf3cd506bd5ca64adbc0e7b',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq1_5fpos_30643',['USB_DEVICE_EPSTATUSCLR_STALLRQ1_Pos',['../component_2usb_8h.html#aa0343d3270989b59a90ec0ea384d39ec',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq_5fpos_30644',['USB_DEVICE_EPSTATUSCLR_STALLRQ_Pos',['../component_2usb_8h.html#ad8738afba20ecebd5e8ba70d93dd7c8f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fbk0rdy_5fpos_30645',['USB_DEVICE_EPSTATUSSET_BK0RDY_Pos',['../component_2usb_8h.html#a6af786fbaa30ffbc8723e0d56c7479bd',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fbk1rdy_5fpos_30646',['USB_DEVICE_EPSTATUSSET_BK1RDY_Pos',['../component_2usb_8h.html#aab24037420b07a4688539994ec6b733a',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fcurbk_5fpos_30647',['USB_DEVICE_EPSTATUSSET_CURBK_Pos',['../component_2usb_8h.html#a7277cf7752b5e8de85e889dc20c44f8a',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fdtglin_5fpos_30648',['USB_DEVICE_EPSTATUSSET_DTGLIN_Pos',['../component_2usb_8h.html#aea8e590e0f309924d37786d157f62ae8',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fdtglout_5fpos_30649',['USB_DEVICE_EPSTATUSSET_DTGLOUT_Pos',['../component_2usb_8h.html#a01bd7218d0f27a8b6dc3fac4edc7407f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fmask_30650',['USB_DEVICE_EPSTATUSSET_MASK',['../component_2usb_8h.html#aa9092d794a1a4a16b858480636d7d180',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5foffset_30651',['USB_DEVICE_EPSTATUSSET_OFFSET',['../component_2usb_8h.html#afe8b6a6a51b9b51ac504a4601101e83f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fresetvalue_30652',['USB_DEVICE_EPSTATUSSET_RESETVALUE',['../component_2usb_8h.html#a170d43fd3b171ad61ecb97edef681e38',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq0_5fpos_30653',['USB_DEVICE_EPSTATUSSET_STALLRQ0_Pos',['../component_2usb_8h.html#a16651f2eab2a1cc3465bcc3d9844152d',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq1_5fpos_30654',['USB_DEVICE_EPSTATUSSET_STALLRQ1_Pos',['../component_2usb_8h.html#a0084a281eacd08b532fa862285753a44',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq_5fpos_30655',['USB_DEVICE_EPSTATUSSET_STALLRQ_Pos',['../component_2usb_8h.html#a0282af70f24c564c61a99201844fa35f',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fmask_30656',['USB_DEVICE_EXTREG_MASK',['../component_2usb_8h.html#a222b98813ce7a2db7068e6531f0a7c72',1,'usb.h']]],
['usb_5fdevice_5fextreg_5foffset_30657',['USB_DEVICE_EXTREG_OFFSET',['../component_2usb_8h.html#a9ba63c398bf06c6ba1d750e53db76505',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fsubpid_5fpos_30658',['USB_DEVICE_EXTREG_SUBPID_Pos',['../component_2usb_8h.html#aaee8dcd3a757e47151ddd4d36545a469',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fvariable_5fpos_30659',['USB_DEVICE_EXTREG_VARIABLE_Pos',['../component_2usb_8h.html#af9a6b648d84872b8c9b566ce0e778a96',1,'usb.h']]],
['usb_5fdevice_5ffnum_5ffncerr_5fpos_30660',['USB_DEVICE_FNUM_FNCERR_Pos',['../component_2usb_8h.html#a60909e06a23bf87451ee1a0a2286ebeb',1,'usb.h']]],
['usb_5fdevice_5ffnum_5ffnum_5fpos_30661',['USB_DEVICE_FNUM_FNUM_Pos',['../component_2usb_8h.html#a6115591a45b1f5bccf3f15a866ed8e81',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fmask_30662',['USB_DEVICE_FNUM_MASK',['../component_2usb_8h.html#ad6cee6d05cad83e842699860046a84ba',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fmfnum_5fpos_30663',['USB_DEVICE_FNUM_MFNUM_Pos',['../component_2usb_8h.html#af97acec612af7f6fd13b6a72cd73c3d5',1,'usb.h']]],
['usb_5fdevice_5ffnum_5foffset_30664',['USB_DEVICE_FNUM_OFFSET',['../component_2usb_8h.html#a04c4c55b4b6a088cb4ec0b8ab8e5c3ef',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fresetvalue_30665',['USB_DEVICE_FNUM_RESETVALUE',['../component_2usb_8h.html#a9d1519b7871620f47daa5eb8495813ad',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5feorsm_5fpos_30666',['USB_DEVICE_INTENCLR_EORSM_Pos',['../component_2usb_8h.html#ac20c4c3b35e34f18f4c8967128235f77',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5feorst_5fpos_30667',['USB_DEVICE_INTENCLR_EORST_Pos',['../component_2usb_8h.html#a0d7b90ee2658b6546e2eba0a8ad82727',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5flpmnyet_5fpos_30668',['USB_DEVICE_INTENCLR_LPMNYET_Pos',['../component_2usb_8h.html#aba9233a85f6c1ff252fb8860e0406c66',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5flpmsusp_5fpos_30669',['USB_DEVICE_INTENCLR_LPMSUSP_Pos',['../component_2usb_8h.html#a76ddac563814b550b242b8d1b80e52ae',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fmask_30670',['USB_DEVICE_INTENCLR_MASK',['../component_2usb_8h.html#aefcb7f6254cde2c107efd41e6e8d848a',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fmsof_5fpos_30671',['USB_DEVICE_INTENCLR_MSOF_Pos',['../component_2usb_8h.html#ae9496824326381cab8d70f9017fb8ef8',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5foffset_30672',['USB_DEVICE_INTENCLR_OFFSET',['../component_2usb_8h.html#a8c5afec703b435f3c75ede654164f916',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5framacer_5fpos_30673',['USB_DEVICE_INTENCLR_RAMACER_Pos',['../component_2usb_8h.html#a9e66d87d6859bb7a3e1712977aa54df1',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fresetvalue_30674',['USB_DEVICE_INTENCLR_RESETVALUE',['../component_2usb_8h.html#a445b817a6e0c0df3659d91b12dfd8c71',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fsof_5fpos_30675',['USB_DEVICE_INTENCLR_SOF_Pos',['../component_2usb_8h.html#a556a6138ee0944f3e8b6140b53ea680a',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fsuspend_5fpos_30676',['USB_DEVICE_INTENCLR_SUSPEND_Pos',['../component_2usb_8h.html#ad00cc659a129e5fa034c543827805378',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fuprsm_5fpos_30677',['USB_DEVICE_INTENCLR_UPRSM_Pos',['../component_2usb_8h.html#af00a9cc7618b7addca1818fbd652a2bb',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fwakeup_5fpos_30678',['USB_DEVICE_INTENCLR_WAKEUP_Pos',['../component_2usb_8h.html#aa2138552cbf627a1ebeebf93d23dd98a',1,'usb.h']]],
['usb_5fdevice_5fintenset_5feorsm_5fpos_30679',['USB_DEVICE_INTENSET_EORSM_Pos',['../component_2usb_8h.html#a2c2ddbbc80fa6e3c131d2d27d5bf4336',1,'usb.h']]],
['usb_5fdevice_5fintenset_5feorst_5fpos_30680',['USB_DEVICE_INTENSET_EORST_Pos',['../component_2usb_8h.html#ad41e2a9ed51dcb882cf4810257631116',1,'usb.h']]],
['usb_5fdevice_5fintenset_5flpmnyet_5fpos_30681',['USB_DEVICE_INTENSET_LPMNYET_Pos',['../component_2usb_8h.html#ac25ebe4d202de9447042d89bc2b09369',1,'usb.h']]],
['usb_5fdevice_5fintenset_5flpmsusp_5fpos_30682',['USB_DEVICE_INTENSET_LPMSUSP_Pos',['../component_2usb_8h.html#aba276142fac784eaa3019c216ea34e6b',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fmask_30683',['USB_DEVICE_INTENSET_MASK',['../component_2usb_8h.html#a3be7c3c27c866d64850e012a83fc4ec0',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fmsof_5fpos_30684',['USB_DEVICE_INTENSET_MSOF_Pos',['../component_2usb_8h.html#a1cd2ec3d87a441e97fdc34e30d77f965',1,'usb.h']]],
['usb_5fdevice_5fintenset_5foffset_30685',['USB_DEVICE_INTENSET_OFFSET',['../component_2usb_8h.html#ae59bbfd7794869cb47dbc44ab07c8492',1,'usb.h']]],
['usb_5fdevice_5fintenset_5framacer_5fpos_30686',['USB_DEVICE_INTENSET_RAMACER_Pos',['../component_2usb_8h.html#a9c8cf31bb8db13847199821463b87890',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fresetvalue_30687',['USB_DEVICE_INTENSET_RESETVALUE',['../component_2usb_8h.html#af95223cc63f60aa537d65f85629d3f01',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fsof_5fpos_30688',['USB_DEVICE_INTENSET_SOF_Pos',['../component_2usb_8h.html#a144eafc8845cb6e24bf1404da0f42875',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fsuspend_5fpos_30689',['USB_DEVICE_INTENSET_SUSPEND_Pos',['../component_2usb_8h.html#a70a4286b9f7c148f62246dea0e6d60fc',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fuprsm_5fpos_30690',['USB_DEVICE_INTENSET_UPRSM_Pos',['../component_2usb_8h.html#a43e85c11c74ebc1690df9aa6585d9470',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fwakeup_5fpos_30691',['USB_DEVICE_INTENSET_WAKEUP_Pos',['../component_2usb_8h.html#a3703aaf4d2395b3b6aaeca49fa1ea1e0',1,'usb.h']]],
['usb_5fdevice_5fintflag_5feorsm_5fpos_30692',['USB_DEVICE_INTFLAG_EORSM_Pos',['../component_2usb_8h.html#ad9f17dc8d2b19fb440eb764f91e7b88e',1,'usb.h']]],
['usb_5fdevice_5fintflag_5feorst_5fpos_30693',['USB_DEVICE_INTFLAG_EORST_Pos',['../component_2usb_8h.html#a614893cb9dc255b2b4eef60e35631b44',1,'usb.h']]],
['usb_5fdevice_5fintflag_5flpmnyet_5fpos_30694',['USB_DEVICE_INTFLAG_LPMNYET_Pos',['../component_2usb_8h.html#a04b7ff3a63f8e835317dc37860962aea',1,'usb.h']]],
['usb_5fdevice_5fintflag_5flpmsusp_5fpos_30695',['USB_DEVICE_INTFLAG_LPMSUSP_Pos',['../component_2usb_8h.html#a6398dd5b136f89b835016715bf1ef1d6',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fmask_30696',['USB_DEVICE_INTFLAG_MASK',['../component_2usb_8h.html#a29f9aff24b78070a6d708b7c4602b488',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fmsof_5fpos_30697',['USB_DEVICE_INTFLAG_MSOF_Pos',['../component_2usb_8h.html#a3f060ff63d10883b93e140f2efe28972',1,'usb.h']]],
['usb_5fdevice_5fintflag_5foffset_30698',['USB_DEVICE_INTFLAG_OFFSET',['../component_2usb_8h.html#a1e8ab6a5ad00f48f74add2537ad3ab23',1,'usb.h']]],
['usb_5fdevice_5fintflag_5framacer_5fpos_30699',['USB_DEVICE_INTFLAG_RAMACER_Pos',['../component_2usb_8h.html#a474b264fedeb6c4022d6d4ce68e3091c',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fresetvalue_30700',['USB_DEVICE_INTFLAG_RESETVALUE',['../component_2usb_8h.html#af1e950a2148a5232135f8163359e1ecf',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fsof_5fpos_30701',['USB_DEVICE_INTFLAG_SOF_Pos',['../component_2usb_8h.html#aa5455ebd696dfd37861147e2a15a7e89',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fsuspend_5fpos_30702',['USB_DEVICE_INTFLAG_SUSPEND_Pos',['../component_2usb_8h.html#a74cd2f11552b3ce894d82e5c3f540c8b',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fuprsm_5fpos_30703',['USB_DEVICE_INTFLAG_UPRSM_Pos',['../component_2usb_8h.html#abd5404f0e8add7199c577eee270d61ed',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fwakeup_5fpos_30704',['USB_DEVICE_INTFLAG_WAKEUP_Pos',['../component_2usb_8h.html#a2c68ce7aca5afcc9b55044c60765980c',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fauto_5fzlp_5fpos_30705',['USB_DEVICE_PCKSIZE_AUTO_ZLP_Pos',['../component_2usb_8h.html#a732b6ff0d44574cf262b94da9a542e0d',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fbyte_5fcount_5fpos_30706',['USB_DEVICE_PCKSIZE_BYTE_COUNT_Pos',['../component_2usb_8h.html#aef4d998ef9773a987ac4d050a6a2d10b',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fmask_30707',['USB_DEVICE_PCKSIZE_MASK',['../component_2usb_8h.html#a8137db3f78ef7508a990c6394a11e9db',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fmulti_5fpacket_5fsize_5fpos_30708',['USB_DEVICE_PCKSIZE_MULTI_PACKET_SIZE_Pos',['../component_2usb_8h.html#a663e8d8f53a4de69483b4b48f0f3d142',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5foffset_30709',['USB_DEVICE_PCKSIZE_OFFSET',['../component_2usb_8h.html#a187e4d2e49f2a3394c148f8ab1d49020',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fsize_5fpos_30710',['USB_DEVICE_PCKSIZE_SIZE_Pos',['../component_2usb_8h.html#a50418bff1c552e987f4925cb91cf32b9',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5fcrcerr_5fpos_30711',['USB_DEVICE_STATUS_BK_CRCERR_Pos',['../component_2usb_8h.html#ad308ade50b904627335d19830484de2d',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5ferrorflow_5fpos_30712',['USB_DEVICE_STATUS_BK_ERRORFLOW_Pos',['../component_2usb_8h.html#ac53cf95fda3e0f35814310e07d1a6e71',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5fmask_30713',['USB_DEVICE_STATUS_BK_MASK',['../component_2usb_8h.html#a579888f0bf6dec18f172a4b8d80b508a',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5foffset_30714',['USB_DEVICE_STATUS_BK_OFFSET',['../component_2usb_8h.html#a9d12246fa3ef16dbf5d1454bb2880adb',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f0_5fval_30715',['USB_DEVICE_STATUS_LINESTATE_0_Val',['../component_2usb_8h.html#a6bb81d63d6025c58fd88e81a5522be60',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f1_5fval_30716',['USB_DEVICE_STATUS_LINESTATE_1_Val',['../component_2usb_8h.html#a9be5dcded3e3389526af7731a279e9a7',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f2_5fval_30717',['USB_DEVICE_STATUS_LINESTATE_2_Val',['../component_2usb_8h.html#aff76a55f7d0040b772b73bc0164b9717',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5fpos_30718',['USB_DEVICE_STATUS_LINESTATE_Pos',['../component_2usb_8h.html#a8e62dd599a4f4a34806c7677b9eaec13',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fmask_30719',['USB_DEVICE_STATUS_MASK',['../component_2usb_8h.html#a4b9317688bbac4ee71ae1032c4a47cae',1,'usb.h']]],
['usb_5fdevice_5fstatus_5foffset_30720',['USB_DEVICE_STATUS_OFFSET',['../component_2usb_8h.html#a2c0b2114ca5448062c1cae9f07a9271d',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fresetvalue_30721',['USB_DEVICE_STATUS_RESETVALUE',['../component_2usb_8h.html#a672a42f6e6eb922ae920db9f89402ace',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5ffs_5fval_30722',['USB_DEVICE_STATUS_SPEED_FS_Val',['../component_2usb_8h.html#a7742b3808531475636c5d843edd9b71e',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fhs_5fval_30723',['USB_DEVICE_STATUS_SPEED_HS_Val',['../component_2usb_8h.html#a3bf95af6a2142f4c7bb7b3dcda290d52',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fls_5fval_30724',['USB_DEVICE_STATUS_SPEED_LS_Val',['../component_2usb_8h.html#a8a9061e2205790b27cefed8650edcd28',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fpos_30725',['USB_DEVICE_STATUS_SPEED_Pos',['../component_2usb_8h.html#a07dc661773fbafdaa2c54728657332bd',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fdnresume_5fval_30726',['USB_FSMSTATUS_FSMSTATE_DNRESUME_Val',['../component_2usb_8h.html#a59b7422057d8f9794ebb827253bdd939',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5foff_5fval_30727',['USB_FSMSTATUS_FSMSTATE_OFF_Val',['../component_2usb_8h.html#a3b573bcca8d34cfbfb9c47640132e311',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fon_5fval_30728',['USB_FSMSTATUS_FSMSTATE_ON_Val',['../component_2usb_8h.html#af34bb78c6900252526f038e131f70312',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fpos_30729',['USB_FSMSTATUS_FSMSTATE_Pos',['../component_2usb_8h.html#a1584eb0083050e0e37701f538d71c143',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5freset_5fval_30730',['USB_FSMSTATUS_FSMSTATE_RESET_Val',['../component_2usb_8h.html#a2b6360b48e2e09457988f60d8152f203',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fsleep_5fval_30731',['USB_FSMSTATUS_FSMSTATE_SLEEP_Val',['../component_2usb_8h.html#ad784f6462df68811e79b524c1e026e1e',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fsuspend_5fval_30732',['USB_FSMSTATUS_FSMSTATE_SUSPEND_Val',['../component_2usb_8h.html#a11570ce95d207d25017664abe9a39561',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fupresume_5fval_30733',['USB_FSMSTATUS_FSMSTATE_UPRESUME_Val',['../component_2usb_8h.html#a6c9420acb01f1143c26eb341646bdfd4',1,'usb.h']]],
['usb_5ffsmstatus_5fmask_30734',['USB_FSMSTATUS_MASK',['../component_2usb_8h.html#a3e8c235aa7899f43a386e292dc032763',1,'usb.h']]],
['usb_5ffsmstatus_5foffset_30735',['USB_FSMSTATUS_OFFSET',['../component_2usb_8h.html#aa059828dcce189ce1bef027cbeed4a92',1,'usb.h']]],
['usb_5ffsmstatus_5fresetvalue_30736',['USB_FSMSTATUS_RESETVALUE',['../component_2usb_8h.html#a0e05572b969d24133f1e5651fff2015e',1,'usb.h']]],
['usb_5ffuses_5ftransn_5fpos_30737',['USB_FUSES_TRANSN_Pos',['../component_2nvmctrl_8h.html#ab0e7f93b7c247b3159128648a749719f',1,'nvmctrl.h']]],
['usb_5ffuses_5ftransp_5fpos_30738',['USB_FUSES_TRANSP_Pos',['../component_2nvmctrl_8h.html#aa2619428e9443f013405e7411b2f36ec',1,'nvmctrl.h']]],
['usb_5ffuses_5ftrim_5fpos_30739',['USB_FUSES_TRIM_Pos',['../component_2nvmctrl_8h.html#a699a137d838370a9163b858b8eecccaf',1,'nvmctrl.h']]],
['usb_5fhost_5faddr_5faddr_5fpos_30740',['USB_HOST_ADDR_ADDR_Pos',['../component_2usb_8h.html#a608a4201f066a3b5f143967efd6b13b6',1,'usb.h']]],
['usb_5fhost_5faddr_5fmask_30741',['USB_HOST_ADDR_MASK',['../component_2usb_8h.html#a6325bca8d8a0084c948acf6c3e60204e',1,'usb.h']]],
['usb_5fhost_5faddr_5foffset_30742',['USB_HOST_ADDR_OFFSET',['../component_2usb_8h.html#acbc434692759da5ecc14e43025383849',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fbitinterval_5fpos_30743',['USB_HOST_BINTERVAL_BITINTERVAL_Pos',['../component_2usb_8h.html#a5149e4d46384831533827f787ac3ea9d',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fmask_30744',['USB_HOST_BINTERVAL_MASK',['../component_2usb_8h.html#ad98b3dbc4b12f0ae4e0d76a3ab02e9b6',1,'usb.h']]],
['usb_5fhost_5fbinterval_5foffset_30745',['USB_HOST_BINTERVAL_OFFSET',['../component_2usb_8h.html#a7406e902329b6e5de4d897a8d1fb302f',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fresetvalue_30746',['USB_HOST_BINTERVAL_RESETVALUE',['../component_2usb_8h.html#a8e43acc07b00073e05b3bad3a6de097d',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fmask_30747',['USB_HOST_CTRL_PIPE_MASK',['../component_2usb_8h.html#adef4d4de52a184ed1e2d1f9ccb7b6b97',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5foffset_30748',['USB_HOST_CTRL_PIPE_OFFSET',['../component_2usb_8h.html#a699b7627c69a2e077849a7482d2d0c0e',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpdaddr_5fpos_30749',['USB_HOST_CTRL_PIPE_PDADDR_Pos',['../component_2usb_8h.html#a9cd5539debbbaaab60a622e533592b44',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpepnum_5fpos_30750',['USB_HOST_CTRL_PIPE_PEPNUM_Pos',['../component_2usb_8h.html#aa3bfb733faf29dee4ab9782319c7ae22',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpermax_5fpos_30751',['USB_HOST_CTRL_PIPE_PERMAX_Pos',['../component_2usb_8h.html#a9b6f0d5a9481cc6474367eb3aaa1842c',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fresetvalue_30752',['USB_HOST_CTRL_PIPE_RESETVALUE',['../component_2usb_8h.html#a7756bd851dfff7295baaab22792506e6',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fautoresume_5fpos_30753',['USB_HOST_CTRLB_AUTORESUME_Pos',['../component_2usb_8h.html#a914e09885ed1fcd8c601de3ad4606a5b',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fbusreset_5fpos_30754',['USB_HOST_CTRLB_BUSRESET_Pos',['../component_2usb_8h.html#a926f0460d7d0258193ba846808be7267',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fl1resume_5fpos_30755',['USB_HOST_CTRLB_L1RESUME_Pos',['../component_2usb_8h.html#a4c9a833dc120257bf101713b9ead8131',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fmask_30756',['USB_HOST_CTRLB_MASK',['../component_2usb_8h.html#aa78947196ae372e8ff9218773d935924',1,'usb.h']]],
['usb_5fhost_5fctrlb_5foffset_30757',['USB_HOST_CTRLB_OFFSET',['../component_2usb_8h.html#ab7ae7f7cbb730982b59882020535f25a',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fresetvalue_30758',['USB_HOST_CTRLB_RESETVALUE',['../component_2usb_8h.html#a9ca869733032951271ebefd0cdb41838',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fresume_5fpos_30759',['USB_HOST_CTRLB_RESUME_Pos',['../component_2usb_8h.html#ab5a679b92871cc0f3ba948f3d8191ec6',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fsofe_5fpos_30760',['USB_HOST_CTRLB_SOFE_Pos',['../component_2usb_8h.html#ae4d95883d4ad56f9856b6e00dfb86871',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5ffs_5fval_30761',['USB_HOST_CTRLB_SPDCONF_FS_Val',['../component_2usb_8h.html#a8767ff75fe74316d97f511855ef2aca1',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5fnormal_5fval_30762',['USB_HOST_CTRLB_SPDCONF_NORMAL_Val',['../component_2usb_8h.html#a42c2b2ba1944825832bb3ebe046512ba',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5fpos_30763',['USB_HOST_CTRLB_SPDCONF_Pos',['../component_2usb_8h.html#ae5052bc689326f7ae2f3d3414ab4c294',1,'usb.h']]],
['usb_5fhost_5fctrlb_5ftstj_5fpos_30764',['USB_HOST_CTRLB_TSTJ_Pos',['../component_2usb_8h.html#a8bc3256c5628847d62171dddaa95328a',1,'usb.h']]],
['usb_5fhost_5fctrlb_5ftstk_5fpos_30765',['USB_HOST_CTRLB_TSTK_Pos',['../component_2usb_8h.html#a193b32fec2fe3e88292cce5099c873ba',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fvbusok_5fpos_30766',['USB_HOST_CTRLB_VBUSOK_Pos',['../component_2usb_8h.html#a053fa0f43fd5f012f1a40d99b740f212',1,'usb.h']]],
['usb_5fhost_5fextreg_5fmask_30767',['USB_HOST_EXTREG_MASK',['../component_2usb_8h.html#af97a5b4e113d17d4b5404bef611ce388',1,'usb.h']]],
['usb_5fhost_5fextreg_5foffset_30768',['USB_HOST_EXTREG_OFFSET',['../component_2usb_8h.html#a9952617bf60fc9e0ab0a400a7546d98b',1,'usb.h']]],
['usb_5fhost_5fextreg_5fsubpid_5fpos_30769',['USB_HOST_EXTREG_SUBPID_Pos',['../component_2usb_8h.html#a5e4cfab95db765a11252171562b33696',1,'usb.h']]],
['usb_5fhost_5fextreg_5fvariable_5fpos_30770',['USB_HOST_EXTREG_VARIABLE_Pos',['../component_2usb_8h.html#a518a47e5a0c791287a3f79373d6f542d',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fflenhigh_5fpos_30771',['USB_HOST_FLENHIGH_FLENHIGH_Pos',['../component_2usb_8h.html#a8d625c02119e0425aa2978a1c406e3ac',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fmask_30772',['USB_HOST_FLENHIGH_MASK',['../component_2usb_8h.html#a172a214f96b81cc95c268f35c2165ab6',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5foffset_30773',['USB_HOST_FLENHIGH_OFFSET',['../component_2usb_8h.html#a916daa05b26624912cd972ae9563eef0',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fresetvalue_30774',['USB_HOST_FLENHIGH_RESETVALUE',['../component_2usb_8h.html#a0a62c5665d87352977c007e02be7b860',1,'usb.h']]],
['usb_5fhost_5ffnum_5ffnum_5fpos_30775',['USB_HOST_FNUM_FNUM_Pos',['../component_2usb_8h.html#a7fccb7a92df0639adc1b73dad6e17d87',1,'usb.h']]],
['usb_5fhost_5ffnum_5fmask_30776',['USB_HOST_FNUM_MASK',['../component_2usb_8h.html#a21af3c4840f782641946d6b7e37607a4',1,'usb.h']]],
['usb_5fhost_5ffnum_5fmfnum_5fpos_30777',['USB_HOST_FNUM_MFNUM_Pos',['../component_2usb_8h.html#a4f0798badf86adfa80aede8433e5cce4',1,'usb.h']]],
['usb_5fhost_5ffnum_5foffset_30778',['USB_HOST_FNUM_OFFSET',['../component_2usb_8h.html#a956a6cc1ba4f7ab7d03b158488e11745',1,'usb.h']]],
['usb_5fhost_5ffnum_5fresetvalue_30779',['USB_HOST_FNUM_RESETVALUE',['../component_2usb_8h.html#ac63db08640743ea5ea2ad1d338d75d12',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fflenc_5fpos_30780',['USB_HOST_HSOFC_FLENC_Pos',['../component_2usb_8h.html#aa7d764b82c769e5fba6b45dc17587826',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fflence_5fpos_30781',['USB_HOST_HSOFC_FLENCE_Pos',['../component_2usb_8h.html#a7f3fe2713c71363a782be75f4f90a0c0',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fmask_30782',['USB_HOST_HSOFC_MASK',['../component_2usb_8h.html#af620d1f4e2ddb86529c1f7a029defbca',1,'usb.h']]],
['usb_5fhost_5fhsofc_5foffset_30783',['USB_HOST_HSOFC_OFFSET',['../component_2usb_8h.html#ac1aa46c243c881119dd359388f4a2242',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fresetvalue_30784',['USB_HOST_HSOFC_RESETVALUE',['../component_2usb_8h.html#a6a35ee1ec349f984750b35e0d6f97cf5',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fdconn_5fpos_30785',['USB_HOST_INTENCLR_DCONN_Pos',['../component_2usb_8h.html#aa45a1af9df74efbc6bc277212eb45233',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fddisc_5fpos_30786',['USB_HOST_INTENCLR_DDISC_Pos',['../component_2usb_8h.html#ac71d14dd438e3ffeac3f064b2935a17c',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fdnrsm_5fpos_30787',['USB_HOST_INTENCLR_DNRSM_Pos',['../component_2usb_8h.html#aff35d18b263ecec920220f990a1bdba3',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fhsof_5fpos_30788',['USB_HOST_INTENCLR_HSOF_Pos',['../component_2usb_8h.html#a480dccfc4b0d08e4eb3794b1f39492fc',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fmask_30789',['USB_HOST_INTENCLR_MASK',['../component_2usb_8h.html#ac81bab6a534ae1535f534d175a170c4a',1,'usb.h']]],
['usb_5fhost_5fintenclr_5foffset_30790',['USB_HOST_INTENCLR_OFFSET',['../component_2usb_8h.html#a983e7382991bf41fd9855065ed22cf50',1,'usb.h']]],
['usb_5fhost_5fintenclr_5framacer_5fpos_30791',['USB_HOST_INTENCLR_RAMACER_Pos',['../component_2usb_8h.html#a77a71e060e15b083ecf8e1c46bfad4a9',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fresetvalue_30792',['USB_HOST_INTENCLR_RESETVALUE',['../component_2usb_8h.html#affd96de5361f8c95f4a8494ef8a3256d',1,'usb.h']]],
['usb_5fhost_5fintenclr_5frst_5fpos_30793',['USB_HOST_INTENCLR_RST_Pos',['../component_2usb_8h.html#ada16dba7d0bc11a0813ec2505b40bdb8',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fuprsm_5fpos_30794',['USB_HOST_INTENCLR_UPRSM_Pos',['../component_2usb_8h.html#a17896ebf36d580baaea2f47f877c301c',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fwakeup_5fpos_30795',['USB_HOST_INTENCLR_WAKEUP_Pos',['../component_2usb_8h.html#a79300961ec1ec94d4761d702bf40b21f',1,'usb.h']]],
['usb_5fhost_5fintenset_5fdconn_5fpos_30796',['USB_HOST_INTENSET_DCONN_Pos',['../component_2usb_8h.html#aa679c274d95c23452bc912164018ad66',1,'usb.h']]],
['usb_5fhost_5fintenset_5fddisc_5fpos_30797',['USB_HOST_INTENSET_DDISC_Pos',['../component_2usb_8h.html#a1f26f9aa0fe2b03eb69a5a67ba0ee65b',1,'usb.h']]],
['usb_5fhost_5fintenset_5fdnrsm_5fpos_30798',['USB_HOST_INTENSET_DNRSM_Pos',['../component_2usb_8h.html#aa3de4dc6e13807025e0156bf72ed2c53',1,'usb.h']]],
['usb_5fhost_5fintenset_5fhsof_5fpos_30799',['USB_HOST_INTENSET_HSOF_Pos',['../component_2usb_8h.html#ac5baa0844de6d4db01ed945fed8dd091',1,'usb.h']]],
['usb_5fhost_5fintenset_5fmask_30800',['USB_HOST_INTENSET_MASK',['../component_2usb_8h.html#ace641ab2f45b65760f9ae5fb8aedc624',1,'usb.h']]],
['usb_5fhost_5fintenset_5foffset_30801',['USB_HOST_INTENSET_OFFSET',['../component_2usb_8h.html#ac8159edc1fdff46aa861b3b9bb188fc7',1,'usb.h']]],
['usb_5fhost_5fintenset_5framacer_5fpos_30802',['USB_HOST_INTENSET_RAMACER_Pos',['../component_2usb_8h.html#aabaa6f4dc758d21af2306fa941a13363',1,'usb.h']]],
['usb_5fhost_5fintenset_5fresetvalue_30803',['USB_HOST_INTENSET_RESETVALUE',['../component_2usb_8h.html#acddaea71188904ebb436191d27a9cb93',1,'usb.h']]],
['usb_5fhost_5fintenset_5frst_5fpos_30804',['USB_HOST_INTENSET_RST_Pos',['../component_2usb_8h.html#afbd02b599a5e29d263bd4e1537737921',1,'usb.h']]],
['usb_5fhost_5fintenset_5fuprsm_5fpos_30805',['USB_HOST_INTENSET_UPRSM_Pos',['../component_2usb_8h.html#a447cdc0932f5fadd6ca31dabbf7ed24f',1,'usb.h']]],
['usb_5fhost_5fintenset_5fwakeup_5fpos_30806',['USB_HOST_INTENSET_WAKEUP_Pos',['../component_2usb_8h.html#af1431001f10f4fd430dbf065eaf17fd8',1,'usb.h']]],
['usb_5fhost_5fintflag_5fdconn_5fpos_30807',['USB_HOST_INTFLAG_DCONN_Pos',['../component_2usb_8h.html#a2d296525954b29ff886f46496670c925',1,'usb.h']]],
['usb_5fhost_5fintflag_5fddisc_5fpos_30808',['USB_HOST_INTFLAG_DDISC_Pos',['../component_2usb_8h.html#aa82b03dd5f0da27fa0616d1c04633c47',1,'usb.h']]],
['usb_5fhost_5fintflag_5fdnrsm_5fpos_30809',['USB_HOST_INTFLAG_DNRSM_Pos',['../component_2usb_8h.html#a038c091d0853930f01ccdb9556c2c52b',1,'usb.h']]],
['usb_5fhost_5fintflag_5fhsof_5fpos_30810',['USB_HOST_INTFLAG_HSOF_Pos',['../component_2usb_8h.html#a757cd483097af42da10eebd928894607',1,'usb.h']]],
['usb_5fhost_5fintflag_5fmask_30811',['USB_HOST_INTFLAG_MASK',['../component_2usb_8h.html#a54c9228eedf365b92dff6c64effd515b',1,'usb.h']]],
['usb_5fhost_5fintflag_5foffset_30812',['USB_HOST_INTFLAG_OFFSET',['../component_2usb_8h.html#a2b6ce6969c3611175cffb2fb05ee9301',1,'usb.h']]],
['usb_5fhost_5fintflag_5framacer_5fpos_30813',['USB_HOST_INTFLAG_RAMACER_Pos',['../component_2usb_8h.html#adb7709487d21ffe00abf3343a66e85e8',1,'usb.h']]],
['usb_5fhost_5fintflag_5fresetvalue_30814',['USB_HOST_INTFLAG_RESETVALUE',['../component_2usb_8h.html#af6d8c82aa14169ff64f737cd593bc118',1,'usb.h']]],
['usb_5fhost_5fintflag_5frst_5fpos_30815',['USB_HOST_INTFLAG_RST_Pos',['../component_2usb_8h.html#a256557b0b79be1c833d01d891833354c',1,'usb.h']]],
['usb_5fhost_5fintflag_5fuprsm_5fpos_30816',['USB_HOST_INTFLAG_UPRSM_Pos',['../component_2usb_8h.html#a03d2c6191e0f7c5c597c619d3162221f',1,'usb.h']]],
['usb_5fhost_5fintflag_5fwakeup_5fpos_30817',['USB_HOST_INTFLAG_WAKEUP_Pos',['../component_2usb_8h.html#a6ebc1f3494f6fad4e601d84a0d956a44',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fbk_5fpos_30818',['USB_HOST_PCFG_BK_Pos',['../component_2usb_8h.html#a9efe4994804d257e0066706f7db38ed5',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fmask_30819',['USB_HOST_PCFG_MASK',['../component_2usb_8h.html#af1909487316395d5e6486c19151d9456',1,'usb.h']]],
['usb_5fhost_5fpcfg_5foffset_30820',['USB_HOST_PCFG_OFFSET',['../component_2usb_8h.html#a58a819b3f29b674214f3bd14c3353dfe',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fptoken_5fpos_30821',['USB_HOST_PCFG_PTOKEN_Pos',['../component_2usb_8h.html#a7281dcd97dbe27666a291c0f02724de7',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fptype_5fpos_30822',['USB_HOST_PCFG_PTYPE_Pos',['../component_2usb_8h.html#aa259f8f23e488d8bb4029b3b657e3d81',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fresetvalue_30823',['USB_HOST_PCFG_RESETVALUE',['../component_2usb_8h.html#a4367bfbd135862a5143da5ff702822ec',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fauto_5fzlp_5fpos_30824',['USB_HOST_PCKSIZE_AUTO_ZLP_Pos',['../component_2usb_8h.html#adef73865672be8097945e8394e51cf70',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fbyte_5fcount_5fpos_30825',['USB_HOST_PCKSIZE_BYTE_COUNT_Pos',['../component_2usb_8h.html#a7ee7bcdf5c1d8ef7b805bc7b787d3783',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fmask_30826',['USB_HOST_PCKSIZE_MASK',['../component_2usb_8h.html#ada5ce5121914a282bb6d155be7a28771',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fmulti_5fpacket_5fsize_5fpos_30827',['USB_HOST_PCKSIZE_MULTI_PACKET_SIZE_Pos',['../component_2usb_8h.html#a5f8e56dec62e103c573bc4ffa746ca08',1,'usb.h']]],
['usb_5fhost_5fpcksize_5foffset_30828',['USB_HOST_PCKSIZE_OFFSET',['../component_2usb_8h.html#a8b233eb580307bb35fcb14e473db9cb0',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fsize_5fpos_30829',['USB_HOST_PCKSIZE_SIZE_Pos',['../component_2usb_8h.html#ad23df7ffc2596d74fe96e78df45ef815',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fmask_30830',['USB_HOST_PINTENCLR_MASK',['../component_2usb_8h.html#a3335d306982defff68936f6beb48096f',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5foffset_30831',['USB_HOST_PINTENCLR_OFFSET',['../component_2usb_8h.html#a8f316a48734cb1038cf55616ab78cff0',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fperr_5fpos_30832',['USB_HOST_PINTENCLR_PERR_Pos',['../component_2usb_8h.html#a475bbae21c182b1aadf319a1612618a0',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fresetvalue_30833',['USB_HOST_PINTENCLR_RESETVALUE',['../component_2usb_8h.html#ae6db98830ccbf80ee18667908208a649',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fstall_5fpos_30834',['USB_HOST_PINTENCLR_STALL_Pos',['../component_2usb_8h.html#ab840aa848520c29b62d489d312b02e48',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt0_5fpos_30835',['USB_HOST_PINTENCLR_TRCPT0_Pos',['../component_2usb_8h.html#afac89e47191cfdf2ffe2e994a2ff5924',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt1_5fpos_30836',['USB_HOST_PINTENCLR_TRCPT1_Pos',['../component_2usb_8h.html#a2b884329a98d8cd20e96bbe8ff2b8bde',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt_5fpos_30837',['USB_HOST_PINTENCLR_TRCPT_Pos',['../component_2usb_8h.html#aac8d2314c05e22f66ed87241531e7b5f',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrfail_5fpos_30838',['USB_HOST_PINTENCLR_TRFAIL_Pos',['../component_2usb_8h.html#ae82db3b32cc40753296c82030081ca1e',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftxstp_5fpos_30839',['USB_HOST_PINTENCLR_TXSTP_Pos',['../component_2usb_8h.html#a28780c1d24c1c2a6b166a6de31426276',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fmask_30840',['USB_HOST_PINTENSET_MASK',['../component_2usb_8h.html#a567e00522894dfb4cce2a07fd7d7753f',1,'usb.h']]],
['usb_5fhost_5fpintenset_5foffset_30841',['USB_HOST_PINTENSET_OFFSET',['../component_2usb_8h.html#a0cdfa1da0694cabbdad4079707f72c64',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fperr_5fpos_30842',['USB_HOST_PINTENSET_PERR_Pos',['../component_2usb_8h.html#a697d9ca2c5d3dca91806202f8c093c16',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fresetvalue_30843',['USB_HOST_PINTENSET_RESETVALUE',['../component_2usb_8h.html#ae48fd472180bff8dd9cea691ba2b74b3',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fstall_5fpos_30844',['USB_HOST_PINTENSET_STALL_Pos',['../component_2usb_8h.html#a969e85524bf49ef867a07ea10cca9b94',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt0_5fpos_30845',['USB_HOST_PINTENSET_TRCPT0_Pos',['../component_2usb_8h.html#a4b7651b4e3d83869c6c045765079992f',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt1_5fpos_30846',['USB_HOST_PINTENSET_TRCPT1_Pos',['../component_2usb_8h.html#abeb57796072c3677ac72065856f724fd',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt_5fpos_30847',['USB_HOST_PINTENSET_TRCPT_Pos',['../component_2usb_8h.html#aa8d9cbab5a85407960e0d987baa97e88',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrfail_5fpos_30848',['USB_HOST_PINTENSET_TRFAIL_Pos',['../component_2usb_8h.html#afbb9bdaeb83cb5980a0e4dc15c45003c',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftxstp_5fpos_30849',['USB_HOST_PINTENSET_TXSTP_Pos',['../component_2usb_8h.html#a2410505b193a7d1db8d6293994c9319c',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fmask_30850',['USB_HOST_PINTFLAG_MASK',['../component_2usb_8h.html#acc92030d03adc5cf54487929e7d16f31',1,'usb.h']]],
['usb_5fhost_5fpintflag_5foffset_30851',['USB_HOST_PINTFLAG_OFFSET',['../component_2usb_8h.html#abde50c13998aefad803aa1bc5bb632ca',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fperr_5fpos_30852',['USB_HOST_PINTFLAG_PERR_Pos',['../component_2usb_8h.html#af055ccba171a0d300e17e975a13a44fe',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fresetvalue_30853',['USB_HOST_PINTFLAG_RESETVALUE',['../component_2usb_8h.html#a380c80e8759c1b60946f0aaa79272179',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fstall_5fpos_30854',['USB_HOST_PINTFLAG_STALL_Pos',['../component_2usb_8h.html#af04e6f5f6a282c7075514927b8b08e79',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt0_5fpos_30855',['USB_HOST_PINTFLAG_TRCPT0_Pos',['../component_2usb_8h.html#a49eea3cf8bffb9a21f866b05df261edc',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt1_5fpos_30856',['USB_HOST_PINTFLAG_TRCPT1_Pos',['../component_2usb_8h.html#a9d706438e9726dbfadf6e4497d3cb000',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt_5fpos_30857',['USB_HOST_PINTFLAG_TRCPT_Pos',['../component_2usb_8h.html#a6100840c1a4b0a3dcc08c85f542d28da',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrfail_5fpos_30858',['USB_HOST_PINTFLAG_TRFAIL_Pos',['../component_2usb_8h.html#aa2c544cfb41c4bfd57fc26c82846caac',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftxstp_5fpos_30859',['USB_HOST_PINTFLAG_TXSTP_Pos',['../component_2usb_8h.html#a6ce0137fc67ca41cbb5dbd41ba75fcb4',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint0_5fpos_30860',['USB_HOST_PINTSMRY_EPINT0_Pos',['../component_2usb_8h.html#a6657a88e54f315a23ad5bd37cb6e935c',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint1_5fpos_30861',['USB_HOST_PINTSMRY_EPINT1_Pos',['../component_2usb_8h.html#aec583c439db54f9bc7fa00afafae348e',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint2_5fpos_30862',['USB_HOST_PINTSMRY_EPINT2_Pos',['../component_2usb_8h.html#a79d1d05cc95207184b9b4f7585c2d595',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint3_5fpos_30863',['USB_HOST_PINTSMRY_EPINT3_Pos',['../component_2usb_8h.html#a00aacb23160ff0d107bee920b6fcba8c',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint4_5fpos_30864',['USB_HOST_PINTSMRY_EPINT4_Pos',['../component_2usb_8h.html#a31e19abf3c6772e81ce5bb2a507d07c8',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint5_5fpos_30865',['USB_HOST_PINTSMRY_EPINT5_Pos',['../component_2usb_8h.html#a0107fb520599781e8f7ffcc5f0a09fad',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint6_5fpos_30866',['USB_HOST_PINTSMRY_EPINT6_Pos',['../component_2usb_8h.html#a599d4683711515396759139cde13c6e5',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint7_5fpos_30867',['USB_HOST_PINTSMRY_EPINT7_Pos',['../component_2usb_8h.html#a8f5e1ba40fbc980904639a70389932b0',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint_5fpos_30868',['USB_HOST_PINTSMRY_EPINT_Pos',['../component_2usb_8h.html#a8190d68c8a2825f05d0c3fcfe946fc2b',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fmask_30869',['USB_HOST_PINTSMRY_MASK',['../component_2usb_8h.html#a31cbbf1ae1899ed073c8fcceb4e05297',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5foffset_30870',['USB_HOST_PINTSMRY_OFFSET',['../component_2usb_8h.html#a363886d4ca47877d3929b00876e591d3',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fresetvalue_30871',['USB_HOST_PINTSMRY_RESETVALUE',['../component_2usb_8h.html#ab99a8f3fccfcf969c757a48a9f6ff62f',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fbk0rdy_5fpos_30872',['USB_HOST_PSTATUS_BK0RDY_Pos',['../component_2usb_8h.html#aa6ea40a00ce6778d123408464b75fbaa',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fbk1rdy_5fpos_30873',['USB_HOST_PSTATUS_BK1RDY_Pos',['../component_2usb_8h.html#a139b1a9ed8cd1f5097a1e76fc790d123',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fcurbk_5fpos_30874',['USB_HOST_PSTATUS_CURBK_Pos',['../component_2usb_8h.html#a08a0b4f63249f700520bd18d25ed92ae',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fdtgl_5fpos_30875',['USB_HOST_PSTATUS_DTGL_Pos',['../component_2usb_8h.html#a8eadae650b89d48c3107ffe677762a31',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fmask_30876',['USB_HOST_PSTATUS_MASK',['../component_2usb_8h.html#a564ffd7778a4c3e18007fac35b0eb429',1,'usb.h']]],
['usb_5fhost_5fpstatus_5foffset_30877',['USB_HOST_PSTATUS_OFFSET',['../component_2usb_8h.html#aacfa6353d49fe07eb2bd0d2e67cfa3c5',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fpfreeze_5fpos_30878',['USB_HOST_PSTATUS_PFREEZE_Pos',['../component_2usb_8h.html#a79e25a4f4de62e5cc7983e573b20bf0d',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fresetvalue_30879',['USB_HOST_PSTATUS_RESETVALUE',['../component_2usb_8h.html#a75bd95ebf0838b9c469b52801a6d0418',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fbk0rdy_5fpos_30880',['USB_HOST_PSTATUSCLR_BK0RDY_Pos',['../component_2usb_8h.html#af62a7896e46401a67d8c6f50566d847d',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fbk1rdy_5fpos_30881',['USB_HOST_PSTATUSCLR_BK1RDY_Pos',['../component_2usb_8h.html#a89f0ee390244f62fde34b21c8edda828',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fcurbk_5fpos_30882',['USB_HOST_PSTATUSCLR_CURBK_Pos',['../component_2usb_8h.html#a08ea45defeeadacf8ebf26fe2f1eab88',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fdtgl_5fpos_30883',['USB_HOST_PSTATUSCLR_DTGL_Pos',['../component_2usb_8h.html#aa135a18eaf6212f305d744bae2fec39e',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fmask_30884',['USB_HOST_PSTATUSCLR_MASK',['../component_2usb_8h.html#a4e4c315bd97f07c8f044f7a2192a8688',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5foffset_30885',['USB_HOST_PSTATUSCLR_OFFSET',['../component_2usb_8h.html#a92129ccf601aee20b48c49d623268f07',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fpfreeze_5fpos_30886',['USB_HOST_PSTATUSCLR_PFREEZE_Pos',['../component_2usb_8h.html#a6ef007165e7b1ca7d2f12e64065fb86f',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fresetvalue_30887',['USB_HOST_PSTATUSCLR_RESETVALUE',['../component_2usb_8h.html#acaa82db7276caf9ad65dbd60cae53209',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fbk0rdy_5fpos_30888',['USB_HOST_PSTATUSSET_BK0RDY_Pos',['../component_2usb_8h.html#aec5a3bd2e701158f0f89951ad03376c0',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fbk1rdy_5fpos_30889',['USB_HOST_PSTATUSSET_BK1RDY_Pos',['../component_2usb_8h.html#a29a097200a386df28b748c586d4a3e69',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fcurbk_5fpos_30890',['USB_HOST_PSTATUSSET_CURBK_Pos',['../component_2usb_8h.html#af1e75c2eb453896f239918b5fdbf1ba3',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fdtgl_5fpos_30891',['USB_HOST_PSTATUSSET_DTGL_Pos',['../component_2usb_8h.html#a9c9b367ddba557817552ec11a6c0b0d0',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fmask_30892',['USB_HOST_PSTATUSSET_MASK',['../component_2usb_8h.html#a5d2001f603ae5a3d6e1418701d571ff9',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5foffset_30893',['USB_HOST_PSTATUSSET_OFFSET',['../component_2usb_8h.html#af96e2e0fc5fd241cafedc0b434ca113e',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fpfreeze_5fpos_30894',['USB_HOST_PSTATUSSET_PFREEZE_Pos',['../component_2usb_8h.html#afbb2360e8ab98fa4471c59a16820a6e3',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fresetvalue_30895',['USB_HOST_PSTATUSSET_RESETVALUE',['../component_2usb_8h.html#a613399fd9ee1b39ba68a640af1ef4717',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5fcrcerr_5fpos_30896',['USB_HOST_STATUS_BK_CRCERR_Pos',['../component_2usb_8h.html#a1753ef6c4200f600ff2f99f4e7dd2266',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5ferrorflow_5fpos_30897',['USB_HOST_STATUS_BK_ERRORFLOW_Pos',['../component_2usb_8h.html#a561f968ef18f6f772cbffe1a4d8c49a7',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5fmask_30898',['USB_HOST_STATUS_BK_MASK',['../component_2usb_8h.html#a2cbf893bf5b3ddc9f8f5017de44b76d1',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5foffset_30899',['USB_HOST_STATUS_BK_OFFSET',['../component_2usb_8h.html#a1382ea1ebed8e7cd8730f4b4463a1691',1,'usb.h']]],
['usb_5fhost_5fstatus_5flinestate_5fpos_30900',['USB_HOST_STATUS_LINESTATE_Pos',['../component_2usb_8h.html#a7920c7422a76bdd54c13a72422a6f45b',1,'usb.h']]],
['usb_5fhost_5fstatus_5fmask_30901',['USB_HOST_STATUS_MASK',['../component_2usb_8h.html#a6814d5a6c684c2231bf7403a84a94fe6',1,'usb.h']]],
['usb_5fhost_5fstatus_5foffset_30902',['USB_HOST_STATUS_OFFSET',['../component_2usb_8h.html#acc6a4375d1eb5f80b94d60fc9abcaf3a',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fcrc16er_5fpos_30903',['USB_HOST_STATUS_PIPE_CRC16ER_Pos',['../component_2usb_8h.html#adde1b1b8ff5f47767f92c4b67b8fd9d7',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fdapider_5fpos_30904',['USB_HOST_STATUS_PIPE_DAPIDER_Pos',['../component_2usb_8h.html#afa8f2dc77b052cf914a9ce0cb42fb969',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fdtgler_5fpos_30905',['USB_HOST_STATUS_PIPE_DTGLER_Pos',['../component_2usb_8h.html#a93d07e3d08d1fb4add66e964989ca46d',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fercnt_5fpos_30906',['USB_HOST_STATUS_PIPE_ERCNT_Pos',['../component_2usb_8h.html#aa7030c0aabad16cf53fb60b6e164c8d6',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fmask_30907',['USB_HOST_STATUS_PIPE_MASK',['../component_2usb_8h.html#a10314511f58df955f60ce4ab0180d2f1',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5foffset_30908',['USB_HOST_STATUS_PIPE_OFFSET',['../component_2usb_8h.html#ae558396ac775d9526bbc9549708954c5',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fpider_5fpos_30909',['USB_HOST_STATUS_PIPE_PIDER_Pos',['../component_2usb_8h.html#aba9eac7b3c25d627e8a4e6918270ea11',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5ftouter_5fpos_30910',['USB_HOST_STATUS_PIPE_TOUTER_Pos',['../component_2usb_8h.html#aa05c55d6a2f68f9c2c329f2adcf4b06d',1,'usb.h']]],
['usb_5fhost_5fstatus_5fresetvalue_30911',['USB_HOST_STATUS_RESETVALUE',['../component_2usb_8h.html#a429f288a9d0060ab087da7b2594075e9',1,'usb.h']]],
['usb_5fhost_5fstatus_5fspeed_5fpos_30912',['USB_HOST_STATUS_SPEED_Pos',['../component_2usb_8h.html#ab570e40f390c296f344a8201c7bae6cb',1,'usb.h']]],
['usb_5finst_5fnum_30913',['USB_INST_NUM',['../same54n19a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54p20a.h']]],
['usb_5finsts_30914',['USB_INSTS',['../same54n19a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54p20a.h']]],
['usb_5fpadcal_5fmask_30915',['USB_PADCAL_MASK',['../component_2usb_8h.html#aca59b8f0e9981bca2d5e7c6fa280149c',1,'usb.h']]],
['usb_5fpadcal_5foffset_30916',['USB_PADCAL_OFFSET',['../component_2usb_8h.html#a1c0508048b08ee324d6564adaf1a6b57',1,'usb.h']]],
['usb_5fpadcal_5fresetvalue_30917',['USB_PADCAL_RESETVALUE',['../component_2usb_8h.html#a6c7b2cef3d26f85db52daf52360cb8ec',1,'usb.h']]],
['usb_5fpadcal_5ftransn_5fpos_30918',['USB_PADCAL_TRANSN_Pos',['../component_2usb_8h.html#a754c140a8bdd5eb6cff99bca755b8153',1,'usb.h']]],
['usb_5fpadcal_5ftransp_5fpos_30919',['USB_PADCAL_TRANSP_Pos',['../component_2usb_8h.html#a5354074947fba3a597f520f7ccb8479a',1,'usb.h']]],
['usb_5fpadcal_5ftrim_5fpos_30920',['USB_PADCAL_TRIM_Pos',['../component_2usb_8h.html#af292cae000be883ae59972f8bc45d599',1,'usb.h']]],
['usb_5fqosctrl_5fcqos_5fpos_30921',['USB_QOSCTRL_CQOS_Pos',['../component_2usb_8h.html#a94a68607bae2ab7fe1589ea07e2d275e',1,'usb.h']]],
['usb_5fqosctrl_5fdqos_5fpos_30922',['USB_QOSCTRL_DQOS_Pos',['../component_2usb_8h.html#aaf8f4d2d3d077ae0f1c2dd8e7d950c98',1,'usb.h']]],
['usb_5fqosctrl_5fmask_30923',['USB_QOSCTRL_MASK',['../component_2usb_8h.html#acc526183af8e284082cf608e530c9b62',1,'usb.h']]],
['usb_5fqosctrl_5foffset_30924',['USB_QOSCTRL_OFFSET',['../component_2usb_8h.html#aff0f1149acd2b3985a8cef9802dc22ac',1,'usb.h']]],
['usb_5fqosctrl_5fresetvalue_30925',['USB_QOSCTRL_RESETVALUE',['../component_2usb_8h.html#a2216c9f3da17f8981c60590f365e0010',1,'usb.h']]],
['usb_5fsyncbusy_5fenable_5fpos_30926',['USB_SYNCBUSY_ENABLE_Pos',['../component_2usb_8h.html#a2acb62fc769042bab5332f1ca75ee8bf',1,'usb.h']]],
['usb_5fsyncbusy_5fmask_30927',['USB_SYNCBUSY_MASK',['../component_2usb_8h.html#ad1ba325956578c9e9ba3bceb8e2a7b5c',1,'usb.h']]],
['usb_5fsyncbusy_5foffset_30928',['USB_SYNCBUSY_OFFSET',['../component_2usb_8h.html#adc44c2e959eb4402df15e1d094e6978d',1,'usb.h']]],
['usb_5fsyncbusy_5fresetvalue_30929',['USB_SYNCBUSY_RESETVALUE',['../component_2usb_8h.html#afcbd54b32a8e48e80eb02126f810464b',1,'usb.h']]],
['usb_5fsyncbusy_5fswrst_5fpos_30930',['USB_SYNCBUSY_SWRST_Pos',['../component_2usb_8h.html#a679838a7b765a2c5a9dfc3fc9b06daf8',1,'usb.h']]]
['usb_30593',['USB',['../same54n19a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54n19a.h'],['../same54n20a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54n20a.h'],['../same54p19a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54p19a.h'],['../same54p20a_8h.html#a779bf099075a999d1074357fccbd466b',1,'USB():&#160;same54p20a.h']]],
['usb_5fctrla_5fenable_5fpos_30594',['USB_CTRLA_ENABLE_Pos',['../component_2usb_8h.html#a08727ee5c4d25daaba1d0ac058be6e21',1,'usb.h']]],
['usb_5fctrla_5fmask_30595',['USB_CTRLA_MASK',['../component_2usb_8h.html#a27c6edc224dc16f34922ad28a3bfd107',1,'usb.h']]],
['usb_5fctrla_5fmode_5fdevice_5fval_30596',['USB_CTRLA_MODE_DEVICE_Val',['../component_2usb_8h.html#a4105f7a7046ef185465586b7e4a44909',1,'usb.h']]],
['usb_5fctrla_5fmode_5fhost_5fval_30597',['USB_CTRLA_MODE_HOST_Val',['../component_2usb_8h.html#aedee7c0852094d9fcbd25760a4330ef3',1,'usb.h']]],
['usb_5fctrla_5fmode_5fpos_30598',['USB_CTRLA_MODE_Pos',['../component_2usb_8h.html#a6261dc0af6c78b43e18d13ffeeccac0f',1,'usb.h']]],
['usb_5fctrla_5foffset_30599',['USB_CTRLA_OFFSET',['../component_2usb_8h.html#a5f5c1d5b9e987a42f466e3a04bdae965',1,'usb.h']]],
['usb_5fctrla_5fresetvalue_30600',['USB_CTRLA_RESETVALUE',['../component_2usb_8h.html#ac56d29d49ee12585490772baebdd1f17',1,'usb.h']]],
['usb_5fctrla_5frunstdby_5fpos_30601',['USB_CTRLA_RUNSTDBY_Pos',['../component_2usb_8h.html#a2b12657c13e6fd8ac186e7fb163f1f53',1,'usb.h']]],
['usb_5fctrla_5fswrst_5fpos_30602',['USB_CTRLA_SWRST_Pos',['../component_2usb_8h.html#a14c38886c57df1a80b35bc7803efa91b',1,'usb.h']]],
['usb_5fdescadd_5fdescadd_5fpos_30603',['USB_DESCADD_DESCADD_Pos',['../component_2usb_8h.html#a1e22175ea63ec08b9d342c89616bd45c',1,'usb.h']]],
['usb_5fdescadd_5fmask_30604',['USB_DESCADD_MASK',['../component_2usb_8h.html#a0e43ff3fa7ea7f6f84e11dbfb959cbb5',1,'usb.h']]],
['usb_5fdescadd_5foffset_30605',['USB_DESCADD_OFFSET',['../component_2usb_8h.html#a4ba311333b0e09b0d5953f939a2f34a6',1,'usb.h']]],
['usb_5fdescadd_5fresetvalue_30606',['USB_DESCADD_RESETVALUE',['../component_2usb_8h.html#a7020ff7516bd23dbe929107815c18ad5',1,'usb.h']]],
['usb_5fdevice_5faddr_5faddr_5fpos_30607',['USB_DEVICE_ADDR_ADDR_Pos',['../component_2usb_8h.html#af11069ea7afed69a6206aed4d5127dab',1,'usb.h']]],
['usb_5fdevice_5faddr_5fmask_30608',['USB_DEVICE_ADDR_MASK',['../component_2usb_8h.html#a8ee19e5cf7a507960a4467b29b526a51',1,'usb.h']]],
['usb_5fdevice_5faddr_5foffset_30609',['USB_DEVICE_ADDR_OFFSET',['../component_2usb_8h.html#a39bb1fdc4210d8fef2114560119b9655',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fdetach_5fpos_30610',['USB_DEVICE_CTRLB_DETACH_Pos',['../component_2usb_8h.html#ad8373344db8aa0727646a15cfc34ebd3',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fgnak_5fpos_30611',['USB_DEVICE_CTRLB_GNAK_Pos',['../component_2usb_8h.html#a0ed47cb7457c19bd0a460ec4f89f1d15',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fack_5fval_30612',['USB_DEVICE_CTRLB_LPMHDSK_ACK_Val',['../component_2usb_8h.html#a579aa1294380225d109d6ee2a2d4727d',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fno_5fval_30613',['USB_DEVICE_CTRLB_LPMHDSK_NO_Val',['../component_2usb_8h.html#aead1ace8b3c95e077a583fc16f732901',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fnyet_5fval_30614',['USB_DEVICE_CTRLB_LPMHDSK_NYET_Val',['../component_2usb_8h.html#a7f5bfd93b23484ad9c4619c32ebe8389',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fpos_30615',['USB_DEVICE_CTRLB_LPMHDSK_Pos',['../component_2usb_8h.html#a7bbe2998f650533b2cc87bb63f7a28de',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5flpmhdsk_5fstall_5fval_30616',['USB_DEVICE_CTRLB_LPMHDSK_STALL_Val',['../component_2usb_8h.html#a249000ba2e98b6207cbb2176465bf154',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fmask_30617',['USB_DEVICE_CTRLB_MASK',['../component_2usb_8h.html#af8a3a39289b6927db2d06bad0f135d42',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fnreply_5fpos_30618',['USB_DEVICE_CTRLB_NREPLY_Pos',['../component_2usb_8h.html#a6a470d7e7f4e5e0560d525d903014d26',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5foffset_30619',['USB_DEVICE_CTRLB_OFFSET',['../component_2usb_8h.html#a028b62303469fa5a44be441bedd526ee',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fopmode2_5fpos_30620',['USB_DEVICE_CTRLB_OPMODE2_Pos',['../component_2usb_8h.html#a11d10c65b87c4d2cbb942f88b544fe6c',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fresetvalue_30621',['USB_DEVICE_CTRLB_RESETVALUE',['../component_2usb_8h.html#ae17314f9012df5bc745f010f0ebbfb4c',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5ffs_5fval_30622',['USB_DEVICE_CTRLB_SPDCONF_FS_Val',['../component_2usb_8h.html#a3be2b809af4ab86f679b64a276ff0cb9',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fhs_5fval_30623',['USB_DEVICE_CTRLB_SPDCONF_HS_Val',['../component_2usb_8h.html#af86110a47503261b362a0efa2d2c83a3',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fhstm_5fval_30624',['USB_DEVICE_CTRLB_SPDCONF_HSTM_Val',['../component_2usb_8h.html#adbc04e8c5b3f542b3f498c7397f9700d',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fls_5fval_30625',['USB_DEVICE_CTRLB_SPDCONF_LS_Val',['../component_2usb_8h.html#a8734dfea787a3ab59ab0704d1a81ca76',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fspdconf_5fpos_30626',['USB_DEVICE_CTRLB_SPDCONF_Pos',['../component_2usb_8h.html#ab5b9688f10e2ab8aa52f42628139b6eb',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstj_5fpos_30627',['USB_DEVICE_CTRLB_TSTJ_Pos',['../component_2usb_8h.html#a72afe21fb229ef70ff43efbf8839402e',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstk_5fpos_30628',['USB_DEVICE_CTRLB_TSTK_Pos',['../component_2usb_8h.html#a3a050963c919f7038957a5de784cd02a',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5ftstpckt_5fpos_30629',['USB_DEVICE_CTRLB_TSTPCKT_Pos',['../component_2usb_8h.html#a44e6b103fc23a7a858b5d6b5db472147',1,'usb.h']]],
['usb_5fdevice_5fctrlb_5fuprsm_5fpos_30630',['USB_DEVICE_CTRLB_UPRSM_Pos',['../component_2usb_8h.html#ab93be7942d56b8a9b058a68210fc8564',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fadden_5fpos_30631',['USB_DEVICE_DADD_ADDEN_Pos',['../component_2usb_8h.html#ab751763b1246001ab6b78edeed0ebaef',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fdadd_5fpos_30632',['USB_DEVICE_DADD_DADD_Pos',['../component_2usb_8h.html#ac1a51843cc66433e2fdcbf772de3363f',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fmask_30633',['USB_DEVICE_DADD_MASK',['../component_2usb_8h.html#ae61b79de5fb3ef4993711863ae493788',1,'usb.h']]],
['usb_5fdevice_5fdadd_5foffset_30634',['USB_DEVICE_DADD_OFFSET',['../component_2usb_8h.html#a0f3542ac45dbe09bb59c4ee4e78d09d0',1,'usb.h']]],
['usb_5fdevice_5fdadd_5fresetvalue_30635',['USB_DEVICE_DADD_RESETVALUE',['../component_2usb_8h.html#ae3708710b894fe84bcf61a4ea6552adb',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5feptype0_5fpos_30636',['USB_DEVICE_EPCFG_EPTYPE0_Pos',['../component_2usb_8h.html#a28367740a0b3944537370790bebe7fa1',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5feptype1_5fpos_30637',['USB_DEVICE_EPCFG_EPTYPE1_Pos',['../component_2usb_8h.html#a06ca795ee6719bf794d17d0128492641',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fmask_30638',['USB_DEVICE_EPCFG_MASK',['../component_2usb_8h.html#af641b5bdf032e6a48b441fcc1796dbe9',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fnyetdis_5fpos_30639',['USB_DEVICE_EPCFG_NYETDIS_Pos',['../component_2usb_8h.html#a307ba7e6a8adf340d8c9b713d8301f7b',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5foffset_30640',['USB_DEVICE_EPCFG_OFFSET',['../component_2usb_8h.html#a9b0c23ce6c53d2d843bf55d2577b832e',1,'usb.h']]],
['usb_5fdevice_5fepcfg_5fresetvalue_30641',['USB_DEVICE_EPCFG_RESETVALUE',['../component_2usb_8h.html#a038064f19c709c8375d5f31b6750192d',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fmask_30642',['USB_DEVICE_EPINTENCLR_MASK',['../component_2usb_8h.html#a4f401cb3488fd905cc6023234168ec4b',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5foffset_30643',['USB_DEVICE_EPINTENCLR_OFFSET',['../component_2usb_8h.html#a1ee17531a6ece45480070fa0250ea40e',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fresetvalue_30644',['USB_DEVICE_EPINTENCLR_RESETVALUE',['../component_2usb_8h.html#abf49468e9c86ee59100adb4a9c864037',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5frxstp_5fpos_30645',['USB_DEVICE_EPINTENCLR_RXSTP_Pos',['../component_2usb_8h.html#a717dd911f7368a946720488fc1e24f93',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall0_5fpos_30646',['USB_DEVICE_EPINTENCLR_STALL0_Pos',['../component_2usb_8h.html#a6903cb46049f609160a165ef1c9565e3',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall1_5fpos_30647',['USB_DEVICE_EPINTENCLR_STALL1_Pos',['../component_2usb_8h.html#a82aba719b6fa76577b3a6a6c3a46d4d7',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5fstall_5fpos_30648',['USB_DEVICE_EPINTENCLR_STALL_Pos',['../component_2usb_8h.html#a35b6164a256415dc81652aa38f381584',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt0_5fpos_30649',['USB_DEVICE_EPINTENCLR_TRCPT0_Pos',['../component_2usb_8h.html#a8aecc1fe00ed6d981d1ffe46255b843b',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt1_5fpos_30650',['USB_DEVICE_EPINTENCLR_TRCPT1_Pos',['../component_2usb_8h.html#aae736286cfbb572fcea8e5d21ca08658',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrcpt_5fpos_30651',['USB_DEVICE_EPINTENCLR_TRCPT_Pos',['../component_2usb_8h.html#a049c9272ae142ffc562966dc3debdd0f',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail0_5fpos_30652',['USB_DEVICE_EPINTENCLR_TRFAIL0_Pos',['../component_2usb_8h.html#aa64f9c594de2fffaef8e11b9ecaa0efc',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail1_5fpos_30653',['USB_DEVICE_EPINTENCLR_TRFAIL1_Pos',['../component_2usb_8h.html#ad7709a825ef24e842364c04f9b99e384',1,'usb.h']]],
['usb_5fdevice_5fepintenclr_5ftrfail_5fpos_30654',['USB_DEVICE_EPINTENCLR_TRFAIL_Pos',['../component_2usb_8h.html#afe24801747027f37cd56d49ece4bab43',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fmask_30655',['USB_DEVICE_EPINTENSET_MASK',['../component_2usb_8h.html#a0da53f5c3e1a5c5372830463dbf29d24',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5foffset_30656',['USB_DEVICE_EPINTENSET_OFFSET',['../component_2usb_8h.html#a59337d0066849bd4f531ba5efcd153c9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fresetvalue_30657',['USB_DEVICE_EPINTENSET_RESETVALUE',['../component_2usb_8h.html#a51ab3a66f621e31c55e0676d4d6484a1',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5frxstp_5fpos_30658',['USB_DEVICE_EPINTENSET_RXSTP_Pos',['../component_2usb_8h.html#aee0c453573f16300f3bf3e826ea2d5f2',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall0_5fpos_30659',['USB_DEVICE_EPINTENSET_STALL0_Pos',['../component_2usb_8h.html#a9d4e0dafc83af56ed91e31e805585a56',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall1_5fpos_30660',['USB_DEVICE_EPINTENSET_STALL1_Pos',['../component_2usb_8h.html#ad0872c85a0766d55328337d4692af92f',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5fstall_5fpos_30661',['USB_DEVICE_EPINTENSET_STALL_Pos',['../component_2usb_8h.html#a45cc411fbe61df59b01df54ed2a6b1f9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt0_5fpos_30662',['USB_DEVICE_EPINTENSET_TRCPT0_Pos',['../component_2usb_8h.html#abf4cf455ecc583d83a33aa84c449aa01',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt1_5fpos_30663',['USB_DEVICE_EPINTENSET_TRCPT1_Pos',['../component_2usb_8h.html#a394e561158f6937ffa8fadeef7f71b77',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrcpt_5fpos_30664',['USB_DEVICE_EPINTENSET_TRCPT_Pos',['../component_2usb_8h.html#adf81817960a79838bf93a7575a69fdc9',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail0_5fpos_30665',['USB_DEVICE_EPINTENSET_TRFAIL0_Pos',['../component_2usb_8h.html#a5babc1d61845de0e212410e813cc707d',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail1_5fpos_30666',['USB_DEVICE_EPINTENSET_TRFAIL1_Pos',['../component_2usb_8h.html#a1adb76d45620358d886b0cb2d8865017',1,'usb.h']]],
['usb_5fdevice_5fepintenset_5ftrfail_5fpos_30667',['USB_DEVICE_EPINTENSET_TRFAIL_Pos',['../component_2usb_8h.html#a2c7e0bcecd62a0b34cfe393b85e4ebe5',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fmask_30668',['USB_DEVICE_EPINTFLAG_MASK',['../component_2usb_8h.html#acc06aa4c3afe5c8ed34d70dcca4268d5',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5foffset_30669',['USB_DEVICE_EPINTFLAG_OFFSET',['../component_2usb_8h.html#af2b8aae58f8153bdedd8c97bdcc6dba7',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fresetvalue_30670',['USB_DEVICE_EPINTFLAG_RESETVALUE',['../component_2usb_8h.html#a4f7654b8c508d90ed26d64adfde527cd',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5frxstp_5fpos_30671',['USB_DEVICE_EPINTFLAG_RXSTP_Pos',['../component_2usb_8h.html#a87488ba5bcbc921ba71008b81b1cd3bb',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall0_5fpos_30672',['USB_DEVICE_EPINTFLAG_STALL0_Pos',['../component_2usb_8h.html#a5f7a7c9eba59a7d7c7330575cbe4dd0b',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall1_5fpos_30673',['USB_DEVICE_EPINTFLAG_STALL1_Pos',['../component_2usb_8h.html#ab51a1b5c0bb0578d0b838456fece2269',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5fstall_5fpos_30674',['USB_DEVICE_EPINTFLAG_STALL_Pos',['../component_2usb_8h.html#a4d44d127ab23a62ece4462dd85f3999f',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt0_5fpos_30675',['USB_DEVICE_EPINTFLAG_TRCPT0_Pos',['../component_2usb_8h.html#a1439d482c8801ae43fbbee8a4b145aae',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt1_5fpos_30676',['USB_DEVICE_EPINTFLAG_TRCPT1_Pos',['../component_2usb_8h.html#ac13e74216b00808038841a0c1b3e66da',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrcpt_5fpos_30677',['USB_DEVICE_EPINTFLAG_TRCPT_Pos',['../component_2usb_8h.html#a73d5e8d8d7a40178d9fe0f614dcee874',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail0_5fpos_30678',['USB_DEVICE_EPINTFLAG_TRFAIL0_Pos',['../component_2usb_8h.html#aabd62da03c34c9ed903fccf9eea5c067',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail1_5fpos_30679',['USB_DEVICE_EPINTFLAG_TRFAIL1_Pos',['../component_2usb_8h.html#a14095c21551aee173b4cf51a4752289e',1,'usb.h']]],
['usb_5fdevice_5fepintflag_5ftrfail_5fpos_30680',['USB_DEVICE_EPINTFLAG_TRFAIL_Pos',['../component_2usb_8h.html#a0dcfe8589fa4aa6a596135a447291807',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint0_5fpos_30681',['USB_DEVICE_EPINTSMRY_EPINT0_Pos',['../component_2usb_8h.html#a229995656d4953a009cf0d87c9ba54a9',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint1_5fpos_30682',['USB_DEVICE_EPINTSMRY_EPINT1_Pos',['../component_2usb_8h.html#a9aec51b5c534b1e33e18a4cf3dd67fab',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint2_5fpos_30683',['USB_DEVICE_EPINTSMRY_EPINT2_Pos',['../component_2usb_8h.html#a2819777cab6b374670d365d26af7c0d7',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint3_5fpos_30684',['USB_DEVICE_EPINTSMRY_EPINT3_Pos',['../component_2usb_8h.html#a954b3c4af55fccee83598255fc7a8dad',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint4_5fpos_30685',['USB_DEVICE_EPINTSMRY_EPINT4_Pos',['../component_2usb_8h.html#acd65c192a664ec0c792e39cf4a1d0364',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint5_5fpos_30686',['USB_DEVICE_EPINTSMRY_EPINT5_Pos',['../component_2usb_8h.html#a8adb1e5204fe7eb1de4e44c263e9a80b',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint6_5fpos_30687',['USB_DEVICE_EPINTSMRY_EPINT6_Pos',['../component_2usb_8h.html#a7bf4a0fb814bbdcfd8d7ade3d73c3deb',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint7_5fpos_30688',['USB_DEVICE_EPINTSMRY_EPINT7_Pos',['../component_2usb_8h.html#ab9f6a1a4a3a47a939dfcc0dcc90ef3af',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fepint_5fpos_30689',['USB_DEVICE_EPINTSMRY_EPINT_Pos',['../component_2usb_8h.html#a6527db8bbe4ee6e8a5f375af2aa127e4',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fmask_30690',['USB_DEVICE_EPINTSMRY_MASK',['../component_2usb_8h.html#a653ef1be93b032a0f84e8c49b922889b',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5foffset_30691',['USB_DEVICE_EPINTSMRY_OFFSET',['../component_2usb_8h.html#a763dc45e1dc0d080d1d916368316666e',1,'usb.h']]],
['usb_5fdevice_5fepintsmry_5fresetvalue_30692',['USB_DEVICE_EPINTSMRY_RESETVALUE',['../component_2usb_8h.html#a957354efce4bea8a73511a51398f5cdf',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fbk0rdy_5fpos_30693',['USB_DEVICE_EPSTATUS_BK0RDY_Pos',['../component_2usb_8h.html#a3444dfd4231e1cdd69b5fcda14762313',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fbk1rdy_5fpos_30694',['USB_DEVICE_EPSTATUS_BK1RDY_Pos',['../component_2usb_8h.html#a11d68beeb7b2fdc943fab3307da736d2',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fcurbk_5fpos_30695',['USB_DEVICE_EPSTATUS_CURBK_Pos',['../component_2usb_8h.html#abdd7b3bcfbcec69d09e2291cf07b27f5',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fdtglin_5fpos_30696',['USB_DEVICE_EPSTATUS_DTGLIN_Pos',['../component_2usb_8h.html#a2edb8490965d90125035ffb95395ca1d',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fdtglout_5fpos_30697',['USB_DEVICE_EPSTATUS_DTGLOUT_Pos',['../component_2usb_8h.html#adf49017b5ee61d4ff5ecf15f724e0cb8',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fmask_30698',['USB_DEVICE_EPSTATUS_MASK',['../component_2usb_8h.html#ac8dafe05b0cfb5feff6527ae0e788ea0',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5foffset_30699',['USB_DEVICE_EPSTATUS_OFFSET',['../component_2usb_8h.html#aae7c6b2350f9aa133a56c27d6a24a5a0',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fresetvalue_30700',['USB_DEVICE_EPSTATUS_RESETVALUE',['../component_2usb_8h.html#a0792d8e60e074eb65ec06ce41f142ecf',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq0_5fpos_30701',['USB_DEVICE_EPSTATUS_STALLRQ0_Pos',['../component_2usb_8h.html#aaef5c9dbdf17a2e001dcdf5b4eb9d49c',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq1_5fpos_30702',['USB_DEVICE_EPSTATUS_STALLRQ1_Pos',['../component_2usb_8h.html#a427a259500b80b540fdeece18fb2dbc9',1,'usb.h']]],
['usb_5fdevice_5fepstatus_5fstallrq_5fpos_30703',['USB_DEVICE_EPSTATUS_STALLRQ_Pos',['../component_2usb_8h.html#a308eb835ae02db80ff3e0071488cf6e3',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fbk0rdy_5fpos_30704',['USB_DEVICE_EPSTATUSCLR_BK0RDY_Pos',['../component_2usb_8h.html#a6d823c951952fea7e08cea525d879ea8',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fbk1rdy_5fpos_30705',['USB_DEVICE_EPSTATUSCLR_BK1RDY_Pos',['../component_2usb_8h.html#a6528b261f4ba46192c788d7a2641557a',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fcurbk_5fpos_30706',['USB_DEVICE_EPSTATUSCLR_CURBK_Pos',['../component_2usb_8h.html#a8fbeed5b3bbc527a317078818e9c02a0',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fdtglin_5fpos_30707',['USB_DEVICE_EPSTATUSCLR_DTGLIN_Pos',['../component_2usb_8h.html#a6045e554a81e2834adc726c084f4f40e',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fdtglout_5fpos_30708',['USB_DEVICE_EPSTATUSCLR_DTGLOUT_Pos',['../component_2usb_8h.html#a428a40dc91d2b4e26bc2bc727d9767ec',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fmask_30709',['USB_DEVICE_EPSTATUSCLR_MASK',['../component_2usb_8h.html#a7541bd01b67281f768176f2d0e03cd97',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5foffset_30710',['USB_DEVICE_EPSTATUSCLR_OFFSET',['../component_2usb_8h.html#ac4dd855fc2a485937b1826f52b1bffe3',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fresetvalue_30711',['USB_DEVICE_EPSTATUSCLR_RESETVALUE',['../component_2usb_8h.html#a1d9620d0a60feafe445c2faa90c97e18',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq0_5fpos_30712',['USB_DEVICE_EPSTATUSCLR_STALLRQ0_Pos',['../component_2usb_8h.html#a4f1e9ab09bf3cd506bd5ca64adbc0e7b',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq1_5fpos_30713',['USB_DEVICE_EPSTATUSCLR_STALLRQ1_Pos',['../component_2usb_8h.html#aa0343d3270989b59a90ec0ea384d39ec',1,'usb.h']]],
['usb_5fdevice_5fepstatusclr_5fstallrq_5fpos_30714',['USB_DEVICE_EPSTATUSCLR_STALLRQ_Pos',['../component_2usb_8h.html#ad8738afba20ecebd5e8ba70d93dd7c8f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fbk0rdy_5fpos_30715',['USB_DEVICE_EPSTATUSSET_BK0RDY_Pos',['../component_2usb_8h.html#a6af786fbaa30ffbc8723e0d56c7479bd',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fbk1rdy_5fpos_30716',['USB_DEVICE_EPSTATUSSET_BK1RDY_Pos',['../component_2usb_8h.html#aab24037420b07a4688539994ec6b733a',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fcurbk_5fpos_30717',['USB_DEVICE_EPSTATUSSET_CURBK_Pos',['../component_2usb_8h.html#a7277cf7752b5e8de85e889dc20c44f8a',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fdtglin_5fpos_30718',['USB_DEVICE_EPSTATUSSET_DTGLIN_Pos',['../component_2usb_8h.html#aea8e590e0f309924d37786d157f62ae8',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fdtglout_5fpos_30719',['USB_DEVICE_EPSTATUSSET_DTGLOUT_Pos',['../component_2usb_8h.html#a01bd7218d0f27a8b6dc3fac4edc7407f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fmask_30720',['USB_DEVICE_EPSTATUSSET_MASK',['../component_2usb_8h.html#aa9092d794a1a4a16b858480636d7d180',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5foffset_30721',['USB_DEVICE_EPSTATUSSET_OFFSET',['../component_2usb_8h.html#afe8b6a6a51b9b51ac504a4601101e83f',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fresetvalue_30722',['USB_DEVICE_EPSTATUSSET_RESETVALUE',['../component_2usb_8h.html#a170d43fd3b171ad61ecb97edef681e38',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq0_5fpos_30723',['USB_DEVICE_EPSTATUSSET_STALLRQ0_Pos',['../component_2usb_8h.html#a16651f2eab2a1cc3465bcc3d9844152d',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq1_5fpos_30724',['USB_DEVICE_EPSTATUSSET_STALLRQ1_Pos',['../component_2usb_8h.html#a0084a281eacd08b532fa862285753a44',1,'usb.h']]],
['usb_5fdevice_5fepstatusset_5fstallrq_5fpos_30725',['USB_DEVICE_EPSTATUSSET_STALLRQ_Pos',['../component_2usb_8h.html#a0282af70f24c564c61a99201844fa35f',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fmask_30726',['USB_DEVICE_EXTREG_MASK',['../component_2usb_8h.html#a222b98813ce7a2db7068e6531f0a7c72',1,'usb.h']]],
['usb_5fdevice_5fextreg_5foffset_30727',['USB_DEVICE_EXTREG_OFFSET',['../component_2usb_8h.html#a9ba63c398bf06c6ba1d750e53db76505',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fsubpid_5fpos_30728',['USB_DEVICE_EXTREG_SUBPID_Pos',['../component_2usb_8h.html#aaee8dcd3a757e47151ddd4d36545a469',1,'usb.h']]],
['usb_5fdevice_5fextreg_5fvariable_5fpos_30729',['USB_DEVICE_EXTREG_VARIABLE_Pos',['../component_2usb_8h.html#af9a6b648d84872b8c9b566ce0e778a96',1,'usb.h']]],
['usb_5fdevice_5ffnum_5ffncerr_5fpos_30730',['USB_DEVICE_FNUM_FNCERR_Pos',['../component_2usb_8h.html#a60909e06a23bf87451ee1a0a2286ebeb',1,'usb.h']]],
['usb_5fdevice_5ffnum_5ffnum_5fpos_30731',['USB_DEVICE_FNUM_FNUM_Pos',['../component_2usb_8h.html#a6115591a45b1f5bccf3f15a866ed8e81',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fmask_30732',['USB_DEVICE_FNUM_MASK',['../component_2usb_8h.html#ad6cee6d05cad83e842699860046a84ba',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fmfnum_5fpos_30733',['USB_DEVICE_FNUM_MFNUM_Pos',['../component_2usb_8h.html#af97acec612af7f6fd13b6a72cd73c3d5',1,'usb.h']]],
['usb_5fdevice_5ffnum_5foffset_30734',['USB_DEVICE_FNUM_OFFSET',['../component_2usb_8h.html#a04c4c55b4b6a088cb4ec0b8ab8e5c3ef',1,'usb.h']]],
['usb_5fdevice_5ffnum_5fresetvalue_30735',['USB_DEVICE_FNUM_RESETVALUE',['../component_2usb_8h.html#a9d1519b7871620f47daa5eb8495813ad',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5feorsm_5fpos_30736',['USB_DEVICE_INTENCLR_EORSM_Pos',['../component_2usb_8h.html#ac20c4c3b35e34f18f4c8967128235f77',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5feorst_5fpos_30737',['USB_DEVICE_INTENCLR_EORST_Pos',['../component_2usb_8h.html#a0d7b90ee2658b6546e2eba0a8ad82727',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5flpmnyet_5fpos_30738',['USB_DEVICE_INTENCLR_LPMNYET_Pos',['../component_2usb_8h.html#aba9233a85f6c1ff252fb8860e0406c66',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5flpmsusp_5fpos_30739',['USB_DEVICE_INTENCLR_LPMSUSP_Pos',['../component_2usb_8h.html#a76ddac563814b550b242b8d1b80e52ae',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fmask_30740',['USB_DEVICE_INTENCLR_MASK',['../component_2usb_8h.html#aefcb7f6254cde2c107efd41e6e8d848a',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fmsof_5fpos_30741',['USB_DEVICE_INTENCLR_MSOF_Pos',['../component_2usb_8h.html#ae9496824326381cab8d70f9017fb8ef8',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5foffset_30742',['USB_DEVICE_INTENCLR_OFFSET',['../component_2usb_8h.html#a8c5afec703b435f3c75ede654164f916',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5framacer_5fpos_30743',['USB_DEVICE_INTENCLR_RAMACER_Pos',['../component_2usb_8h.html#a9e66d87d6859bb7a3e1712977aa54df1',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fresetvalue_30744',['USB_DEVICE_INTENCLR_RESETVALUE',['../component_2usb_8h.html#a445b817a6e0c0df3659d91b12dfd8c71',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fsof_5fpos_30745',['USB_DEVICE_INTENCLR_SOF_Pos',['../component_2usb_8h.html#a556a6138ee0944f3e8b6140b53ea680a',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fsuspend_5fpos_30746',['USB_DEVICE_INTENCLR_SUSPEND_Pos',['../component_2usb_8h.html#ad00cc659a129e5fa034c543827805378',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fuprsm_5fpos_30747',['USB_DEVICE_INTENCLR_UPRSM_Pos',['../component_2usb_8h.html#af00a9cc7618b7addca1818fbd652a2bb',1,'usb.h']]],
['usb_5fdevice_5fintenclr_5fwakeup_5fpos_30748',['USB_DEVICE_INTENCLR_WAKEUP_Pos',['../component_2usb_8h.html#aa2138552cbf627a1ebeebf93d23dd98a',1,'usb.h']]],
['usb_5fdevice_5fintenset_5feorsm_5fpos_30749',['USB_DEVICE_INTENSET_EORSM_Pos',['../component_2usb_8h.html#a2c2ddbbc80fa6e3c131d2d27d5bf4336',1,'usb.h']]],
['usb_5fdevice_5fintenset_5feorst_5fpos_30750',['USB_DEVICE_INTENSET_EORST_Pos',['../component_2usb_8h.html#ad41e2a9ed51dcb882cf4810257631116',1,'usb.h']]],
['usb_5fdevice_5fintenset_5flpmnyet_5fpos_30751',['USB_DEVICE_INTENSET_LPMNYET_Pos',['../component_2usb_8h.html#ac25ebe4d202de9447042d89bc2b09369',1,'usb.h']]],
['usb_5fdevice_5fintenset_5flpmsusp_5fpos_30752',['USB_DEVICE_INTENSET_LPMSUSP_Pos',['../component_2usb_8h.html#aba276142fac784eaa3019c216ea34e6b',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fmask_30753',['USB_DEVICE_INTENSET_MASK',['../component_2usb_8h.html#a3be7c3c27c866d64850e012a83fc4ec0',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fmsof_5fpos_30754',['USB_DEVICE_INTENSET_MSOF_Pos',['../component_2usb_8h.html#a1cd2ec3d87a441e97fdc34e30d77f965',1,'usb.h']]],
['usb_5fdevice_5fintenset_5foffset_30755',['USB_DEVICE_INTENSET_OFFSET',['../component_2usb_8h.html#ae59bbfd7794869cb47dbc44ab07c8492',1,'usb.h']]],
['usb_5fdevice_5fintenset_5framacer_5fpos_30756',['USB_DEVICE_INTENSET_RAMACER_Pos',['../component_2usb_8h.html#a9c8cf31bb8db13847199821463b87890',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fresetvalue_30757',['USB_DEVICE_INTENSET_RESETVALUE',['../component_2usb_8h.html#af95223cc63f60aa537d65f85629d3f01',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fsof_5fpos_30758',['USB_DEVICE_INTENSET_SOF_Pos',['../component_2usb_8h.html#a144eafc8845cb6e24bf1404da0f42875',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fsuspend_5fpos_30759',['USB_DEVICE_INTENSET_SUSPEND_Pos',['../component_2usb_8h.html#a70a4286b9f7c148f62246dea0e6d60fc',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fuprsm_5fpos_30760',['USB_DEVICE_INTENSET_UPRSM_Pos',['../component_2usb_8h.html#a43e85c11c74ebc1690df9aa6585d9470',1,'usb.h']]],
['usb_5fdevice_5fintenset_5fwakeup_5fpos_30761',['USB_DEVICE_INTENSET_WAKEUP_Pos',['../component_2usb_8h.html#a3703aaf4d2395b3b6aaeca49fa1ea1e0',1,'usb.h']]],
['usb_5fdevice_5fintflag_5feorsm_5fpos_30762',['USB_DEVICE_INTFLAG_EORSM_Pos',['../component_2usb_8h.html#ad9f17dc8d2b19fb440eb764f91e7b88e',1,'usb.h']]],
['usb_5fdevice_5fintflag_5feorst_5fpos_30763',['USB_DEVICE_INTFLAG_EORST_Pos',['../component_2usb_8h.html#a614893cb9dc255b2b4eef60e35631b44',1,'usb.h']]],
['usb_5fdevice_5fintflag_5flpmnyet_5fpos_30764',['USB_DEVICE_INTFLAG_LPMNYET_Pos',['../component_2usb_8h.html#a04b7ff3a63f8e835317dc37860962aea',1,'usb.h']]],
['usb_5fdevice_5fintflag_5flpmsusp_5fpos_30765',['USB_DEVICE_INTFLAG_LPMSUSP_Pos',['../component_2usb_8h.html#a6398dd5b136f89b835016715bf1ef1d6',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fmask_30766',['USB_DEVICE_INTFLAG_MASK',['../component_2usb_8h.html#a29f9aff24b78070a6d708b7c4602b488',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fmsof_5fpos_30767',['USB_DEVICE_INTFLAG_MSOF_Pos',['../component_2usb_8h.html#a3f060ff63d10883b93e140f2efe28972',1,'usb.h']]],
['usb_5fdevice_5fintflag_5foffset_30768',['USB_DEVICE_INTFLAG_OFFSET',['../component_2usb_8h.html#a1e8ab6a5ad00f48f74add2537ad3ab23',1,'usb.h']]],
['usb_5fdevice_5fintflag_5framacer_5fpos_30769',['USB_DEVICE_INTFLAG_RAMACER_Pos',['../component_2usb_8h.html#a474b264fedeb6c4022d6d4ce68e3091c',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fresetvalue_30770',['USB_DEVICE_INTFLAG_RESETVALUE',['../component_2usb_8h.html#af1e950a2148a5232135f8163359e1ecf',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fsof_5fpos_30771',['USB_DEVICE_INTFLAG_SOF_Pos',['../component_2usb_8h.html#aa5455ebd696dfd37861147e2a15a7e89',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fsuspend_5fpos_30772',['USB_DEVICE_INTFLAG_SUSPEND_Pos',['../component_2usb_8h.html#a74cd2f11552b3ce894d82e5c3f540c8b',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fuprsm_5fpos_30773',['USB_DEVICE_INTFLAG_UPRSM_Pos',['../component_2usb_8h.html#abd5404f0e8add7199c577eee270d61ed',1,'usb.h']]],
['usb_5fdevice_5fintflag_5fwakeup_5fpos_30774',['USB_DEVICE_INTFLAG_WAKEUP_Pos',['../component_2usb_8h.html#a2c68ce7aca5afcc9b55044c60765980c',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fauto_5fzlp_5fpos_30775',['USB_DEVICE_PCKSIZE_AUTO_ZLP_Pos',['../component_2usb_8h.html#a732b6ff0d44574cf262b94da9a542e0d',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fbyte_5fcount_5fpos_30776',['USB_DEVICE_PCKSIZE_BYTE_COUNT_Pos',['../component_2usb_8h.html#aef4d998ef9773a987ac4d050a6a2d10b',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fmask_30777',['USB_DEVICE_PCKSIZE_MASK',['../component_2usb_8h.html#a8137db3f78ef7508a990c6394a11e9db',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fmulti_5fpacket_5fsize_5fpos_30778',['USB_DEVICE_PCKSIZE_MULTI_PACKET_SIZE_Pos',['../component_2usb_8h.html#a663e8d8f53a4de69483b4b48f0f3d142',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5foffset_30779',['USB_DEVICE_PCKSIZE_OFFSET',['../component_2usb_8h.html#a187e4d2e49f2a3394c148f8ab1d49020',1,'usb.h']]],
['usb_5fdevice_5fpcksize_5fsize_5fpos_30780',['USB_DEVICE_PCKSIZE_SIZE_Pos',['../component_2usb_8h.html#a50418bff1c552e987f4925cb91cf32b9',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5fcrcerr_5fpos_30781',['USB_DEVICE_STATUS_BK_CRCERR_Pos',['../component_2usb_8h.html#ad308ade50b904627335d19830484de2d',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5ferrorflow_5fpos_30782',['USB_DEVICE_STATUS_BK_ERRORFLOW_Pos',['../component_2usb_8h.html#ac53cf95fda3e0f35814310e07d1a6e71',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5fmask_30783',['USB_DEVICE_STATUS_BK_MASK',['../component_2usb_8h.html#a579888f0bf6dec18f172a4b8d80b508a',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fbk_5foffset_30784',['USB_DEVICE_STATUS_BK_OFFSET',['../component_2usb_8h.html#a9d12246fa3ef16dbf5d1454bb2880adb',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f0_5fval_30785',['USB_DEVICE_STATUS_LINESTATE_0_Val',['../component_2usb_8h.html#a6bb81d63d6025c58fd88e81a5522be60',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f1_5fval_30786',['USB_DEVICE_STATUS_LINESTATE_1_Val',['../component_2usb_8h.html#a9be5dcded3e3389526af7731a279e9a7',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5f2_5fval_30787',['USB_DEVICE_STATUS_LINESTATE_2_Val',['../component_2usb_8h.html#aff76a55f7d0040b772b73bc0164b9717',1,'usb.h']]],
['usb_5fdevice_5fstatus_5flinestate_5fpos_30788',['USB_DEVICE_STATUS_LINESTATE_Pos',['../component_2usb_8h.html#a8e62dd599a4f4a34806c7677b9eaec13',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fmask_30789',['USB_DEVICE_STATUS_MASK',['../component_2usb_8h.html#a4b9317688bbac4ee71ae1032c4a47cae',1,'usb.h']]],
['usb_5fdevice_5fstatus_5foffset_30790',['USB_DEVICE_STATUS_OFFSET',['../component_2usb_8h.html#a2c0b2114ca5448062c1cae9f07a9271d',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fresetvalue_30791',['USB_DEVICE_STATUS_RESETVALUE',['../component_2usb_8h.html#a672a42f6e6eb922ae920db9f89402ace',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5ffs_5fval_30792',['USB_DEVICE_STATUS_SPEED_FS_Val',['../component_2usb_8h.html#a7742b3808531475636c5d843edd9b71e',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fhs_5fval_30793',['USB_DEVICE_STATUS_SPEED_HS_Val',['../component_2usb_8h.html#a3bf95af6a2142f4c7bb7b3dcda290d52',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fls_5fval_30794',['USB_DEVICE_STATUS_SPEED_LS_Val',['../component_2usb_8h.html#a8a9061e2205790b27cefed8650edcd28',1,'usb.h']]],
['usb_5fdevice_5fstatus_5fspeed_5fpos_30795',['USB_DEVICE_STATUS_SPEED_Pos',['../component_2usb_8h.html#a07dc661773fbafdaa2c54728657332bd',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fdnresume_5fval_30796',['USB_FSMSTATUS_FSMSTATE_DNRESUME_Val',['../component_2usb_8h.html#a59b7422057d8f9794ebb827253bdd939',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5foff_5fval_30797',['USB_FSMSTATUS_FSMSTATE_OFF_Val',['../component_2usb_8h.html#a3b573bcca8d34cfbfb9c47640132e311',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fon_5fval_30798',['USB_FSMSTATUS_FSMSTATE_ON_Val',['../component_2usb_8h.html#af34bb78c6900252526f038e131f70312',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fpos_30799',['USB_FSMSTATUS_FSMSTATE_Pos',['../component_2usb_8h.html#a1584eb0083050e0e37701f538d71c143',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5freset_5fval_30800',['USB_FSMSTATUS_FSMSTATE_RESET_Val',['../component_2usb_8h.html#a2b6360b48e2e09457988f60d8152f203',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fsleep_5fval_30801',['USB_FSMSTATUS_FSMSTATE_SLEEP_Val',['../component_2usb_8h.html#ad784f6462df68811e79b524c1e026e1e',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fsuspend_5fval_30802',['USB_FSMSTATUS_FSMSTATE_SUSPEND_Val',['../component_2usb_8h.html#a11570ce95d207d25017664abe9a39561',1,'usb.h']]],
['usb_5ffsmstatus_5ffsmstate_5fupresume_5fval_30803',['USB_FSMSTATUS_FSMSTATE_UPRESUME_Val',['../component_2usb_8h.html#a6c9420acb01f1143c26eb341646bdfd4',1,'usb.h']]],
['usb_5ffsmstatus_5fmask_30804',['USB_FSMSTATUS_MASK',['../component_2usb_8h.html#a3e8c235aa7899f43a386e292dc032763',1,'usb.h']]],
['usb_5ffsmstatus_5foffset_30805',['USB_FSMSTATUS_OFFSET',['../component_2usb_8h.html#aa059828dcce189ce1bef027cbeed4a92',1,'usb.h']]],
['usb_5ffsmstatus_5fresetvalue_30806',['USB_FSMSTATUS_RESETVALUE',['../component_2usb_8h.html#a0e05572b969d24133f1e5651fff2015e',1,'usb.h']]],
['usb_5ffuses_5ftransn_5fpos_30807',['USB_FUSES_TRANSN_Pos',['../component_2nvmctrl_8h.html#ab0e7f93b7c247b3159128648a749719f',1,'nvmctrl.h']]],
['usb_5ffuses_5ftransp_5fpos_30808',['USB_FUSES_TRANSP_Pos',['../component_2nvmctrl_8h.html#aa2619428e9443f013405e7411b2f36ec',1,'nvmctrl.h']]],
['usb_5ffuses_5ftrim_5fpos_30809',['USB_FUSES_TRIM_Pos',['../component_2nvmctrl_8h.html#a699a137d838370a9163b858b8eecccaf',1,'nvmctrl.h']]],
['usb_5fhost_5faddr_5faddr_5fpos_30810',['USB_HOST_ADDR_ADDR_Pos',['../component_2usb_8h.html#a608a4201f066a3b5f143967efd6b13b6',1,'usb.h']]],
['usb_5fhost_5faddr_5fmask_30811',['USB_HOST_ADDR_MASK',['../component_2usb_8h.html#a6325bca8d8a0084c948acf6c3e60204e',1,'usb.h']]],
['usb_5fhost_5faddr_5foffset_30812',['USB_HOST_ADDR_OFFSET',['../component_2usb_8h.html#acbc434692759da5ecc14e43025383849',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fbitinterval_5fpos_30813',['USB_HOST_BINTERVAL_BITINTERVAL_Pos',['../component_2usb_8h.html#a5149e4d46384831533827f787ac3ea9d',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fmask_30814',['USB_HOST_BINTERVAL_MASK',['../component_2usb_8h.html#ad98b3dbc4b12f0ae4e0d76a3ab02e9b6',1,'usb.h']]],
['usb_5fhost_5fbinterval_5foffset_30815',['USB_HOST_BINTERVAL_OFFSET',['../component_2usb_8h.html#a7406e902329b6e5de4d897a8d1fb302f',1,'usb.h']]],
['usb_5fhost_5fbinterval_5fresetvalue_30816',['USB_HOST_BINTERVAL_RESETVALUE',['../component_2usb_8h.html#a8e43acc07b00073e05b3bad3a6de097d',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fmask_30817',['USB_HOST_CTRL_PIPE_MASK',['../component_2usb_8h.html#adef4d4de52a184ed1e2d1f9ccb7b6b97',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5foffset_30818',['USB_HOST_CTRL_PIPE_OFFSET',['../component_2usb_8h.html#a699b7627c69a2e077849a7482d2d0c0e',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpdaddr_5fpos_30819',['USB_HOST_CTRL_PIPE_PDADDR_Pos',['../component_2usb_8h.html#a9cd5539debbbaaab60a622e533592b44',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpepnum_5fpos_30820',['USB_HOST_CTRL_PIPE_PEPNUM_Pos',['../component_2usb_8h.html#aa3bfb733faf29dee4ab9782319c7ae22',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fpermax_5fpos_30821',['USB_HOST_CTRL_PIPE_PERMAX_Pos',['../component_2usb_8h.html#a9b6f0d5a9481cc6474367eb3aaa1842c',1,'usb.h']]],
['usb_5fhost_5fctrl_5fpipe_5fresetvalue_30822',['USB_HOST_CTRL_PIPE_RESETVALUE',['../component_2usb_8h.html#a7756bd851dfff7295baaab22792506e6',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fautoresume_5fpos_30823',['USB_HOST_CTRLB_AUTORESUME_Pos',['../component_2usb_8h.html#a914e09885ed1fcd8c601de3ad4606a5b',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fbusreset_5fpos_30824',['USB_HOST_CTRLB_BUSRESET_Pos',['../component_2usb_8h.html#a926f0460d7d0258193ba846808be7267',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fl1resume_5fpos_30825',['USB_HOST_CTRLB_L1RESUME_Pos',['../component_2usb_8h.html#a4c9a833dc120257bf101713b9ead8131',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fmask_30826',['USB_HOST_CTRLB_MASK',['../component_2usb_8h.html#aa78947196ae372e8ff9218773d935924',1,'usb.h']]],
['usb_5fhost_5fctrlb_5foffset_30827',['USB_HOST_CTRLB_OFFSET',['../component_2usb_8h.html#ab7ae7f7cbb730982b59882020535f25a',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fresetvalue_30828',['USB_HOST_CTRLB_RESETVALUE',['../component_2usb_8h.html#a9ca869733032951271ebefd0cdb41838',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fresume_5fpos_30829',['USB_HOST_CTRLB_RESUME_Pos',['../component_2usb_8h.html#ab5a679b92871cc0f3ba948f3d8191ec6',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fsofe_5fpos_30830',['USB_HOST_CTRLB_SOFE_Pos',['../component_2usb_8h.html#ae4d95883d4ad56f9856b6e00dfb86871',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5ffs_5fval_30831',['USB_HOST_CTRLB_SPDCONF_FS_Val',['../component_2usb_8h.html#a8767ff75fe74316d97f511855ef2aca1',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5fnormal_5fval_30832',['USB_HOST_CTRLB_SPDCONF_NORMAL_Val',['../component_2usb_8h.html#a42c2b2ba1944825832bb3ebe046512ba',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fspdconf_5fpos_30833',['USB_HOST_CTRLB_SPDCONF_Pos',['../component_2usb_8h.html#ae5052bc689326f7ae2f3d3414ab4c294',1,'usb.h']]],
['usb_5fhost_5fctrlb_5ftstj_5fpos_30834',['USB_HOST_CTRLB_TSTJ_Pos',['../component_2usb_8h.html#a8bc3256c5628847d62171dddaa95328a',1,'usb.h']]],
['usb_5fhost_5fctrlb_5ftstk_5fpos_30835',['USB_HOST_CTRLB_TSTK_Pos',['../component_2usb_8h.html#a193b32fec2fe3e88292cce5099c873ba',1,'usb.h']]],
['usb_5fhost_5fctrlb_5fvbusok_5fpos_30836',['USB_HOST_CTRLB_VBUSOK_Pos',['../component_2usb_8h.html#a053fa0f43fd5f012f1a40d99b740f212',1,'usb.h']]],
['usb_5fhost_5fextreg_5fmask_30837',['USB_HOST_EXTREG_MASK',['../component_2usb_8h.html#af97a5b4e113d17d4b5404bef611ce388',1,'usb.h']]],
['usb_5fhost_5fextreg_5foffset_30838',['USB_HOST_EXTREG_OFFSET',['../component_2usb_8h.html#a9952617bf60fc9e0ab0a400a7546d98b',1,'usb.h']]],
['usb_5fhost_5fextreg_5fsubpid_5fpos_30839',['USB_HOST_EXTREG_SUBPID_Pos',['../component_2usb_8h.html#a5e4cfab95db765a11252171562b33696',1,'usb.h']]],
['usb_5fhost_5fextreg_5fvariable_5fpos_30840',['USB_HOST_EXTREG_VARIABLE_Pos',['../component_2usb_8h.html#a518a47e5a0c791287a3f79373d6f542d',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fflenhigh_5fpos_30841',['USB_HOST_FLENHIGH_FLENHIGH_Pos',['../component_2usb_8h.html#a8d625c02119e0425aa2978a1c406e3ac',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fmask_30842',['USB_HOST_FLENHIGH_MASK',['../component_2usb_8h.html#a172a214f96b81cc95c268f35c2165ab6',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5foffset_30843',['USB_HOST_FLENHIGH_OFFSET',['../component_2usb_8h.html#a916daa05b26624912cd972ae9563eef0',1,'usb.h']]],
['usb_5fhost_5fflenhigh_5fresetvalue_30844',['USB_HOST_FLENHIGH_RESETVALUE',['../component_2usb_8h.html#a0a62c5665d87352977c007e02be7b860',1,'usb.h']]],
['usb_5fhost_5ffnum_5ffnum_5fpos_30845',['USB_HOST_FNUM_FNUM_Pos',['../component_2usb_8h.html#a7fccb7a92df0639adc1b73dad6e17d87',1,'usb.h']]],
['usb_5fhost_5ffnum_5fmask_30846',['USB_HOST_FNUM_MASK',['../component_2usb_8h.html#a21af3c4840f782641946d6b7e37607a4',1,'usb.h']]],
['usb_5fhost_5ffnum_5fmfnum_5fpos_30847',['USB_HOST_FNUM_MFNUM_Pos',['../component_2usb_8h.html#a4f0798badf86adfa80aede8433e5cce4',1,'usb.h']]],
['usb_5fhost_5ffnum_5foffset_30848',['USB_HOST_FNUM_OFFSET',['../component_2usb_8h.html#a956a6cc1ba4f7ab7d03b158488e11745',1,'usb.h']]],
['usb_5fhost_5ffnum_5fresetvalue_30849',['USB_HOST_FNUM_RESETVALUE',['../component_2usb_8h.html#ac63db08640743ea5ea2ad1d338d75d12',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fflenc_5fpos_30850',['USB_HOST_HSOFC_FLENC_Pos',['../component_2usb_8h.html#aa7d764b82c769e5fba6b45dc17587826',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fflence_5fpos_30851',['USB_HOST_HSOFC_FLENCE_Pos',['../component_2usb_8h.html#a7f3fe2713c71363a782be75f4f90a0c0',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fmask_30852',['USB_HOST_HSOFC_MASK',['../component_2usb_8h.html#af620d1f4e2ddb86529c1f7a029defbca',1,'usb.h']]],
['usb_5fhost_5fhsofc_5foffset_30853',['USB_HOST_HSOFC_OFFSET',['../component_2usb_8h.html#ac1aa46c243c881119dd359388f4a2242',1,'usb.h']]],
['usb_5fhost_5fhsofc_5fresetvalue_30854',['USB_HOST_HSOFC_RESETVALUE',['../component_2usb_8h.html#a6a35ee1ec349f984750b35e0d6f97cf5',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fdconn_5fpos_30855',['USB_HOST_INTENCLR_DCONN_Pos',['../component_2usb_8h.html#aa45a1af9df74efbc6bc277212eb45233',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fddisc_5fpos_30856',['USB_HOST_INTENCLR_DDISC_Pos',['../component_2usb_8h.html#ac71d14dd438e3ffeac3f064b2935a17c',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fdnrsm_5fpos_30857',['USB_HOST_INTENCLR_DNRSM_Pos',['../component_2usb_8h.html#aff35d18b263ecec920220f990a1bdba3',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fhsof_5fpos_30858',['USB_HOST_INTENCLR_HSOF_Pos',['../component_2usb_8h.html#a480dccfc4b0d08e4eb3794b1f39492fc',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fmask_30859',['USB_HOST_INTENCLR_MASK',['../component_2usb_8h.html#ac81bab6a534ae1535f534d175a170c4a',1,'usb.h']]],
['usb_5fhost_5fintenclr_5foffset_30860',['USB_HOST_INTENCLR_OFFSET',['../component_2usb_8h.html#a983e7382991bf41fd9855065ed22cf50',1,'usb.h']]],
['usb_5fhost_5fintenclr_5framacer_5fpos_30861',['USB_HOST_INTENCLR_RAMACER_Pos',['../component_2usb_8h.html#a77a71e060e15b083ecf8e1c46bfad4a9',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fresetvalue_30862',['USB_HOST_INTENCLR_RESETVALUE',['../component_2usb_8h.html#affd96de5361f8c95f4a8494ef8a3256d',1,'usb.h']]],
['usb_5fhost_5fintenclr_5frst_5fpos_30863',['USB_HOST_INTENCLR_RST_Pos',['../component_2usb_8h.html#ada16dba7d0bc11a0813ec2505b40bdb8',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fuprsm_5fpos_30864',['USB_HOST_INTENCLR_UPRSM_Pos',['../component_2usb_8h.html#a17896ebf36d580baaea2f47f877c301c',1,'usb.h']]],
['usb_5fhost_5fintenclr_5fwakeup_5fpos_30865',['USB_HOST_INTENCLR_WAKEUP_Pos',['../component_2usb_8h.html#a79300961ec1ec94d4761d702bf40b21f',1,'usb.h']]],
['usb_5fhost_5fintenset_5fdconn_5fpos_30866',['USB_HOST_INTENSET_DCONN_Pos',['../component_2usb_8h.html#aa679c274d95c23452bc912164018ad66',1,'usb.h']]],
['usb_5fhost_5fintenset_5fddisc_5fpos_30867',['USB_HOST_INTENSET_DDISC_Pos',['../component_2usb_8h.html#a1f26f9aa0fe2b03eb69a5a67ba0ee65b',1,'usb.h']]],
['usb_5fhost_5fintenset_5fdnrsm_5fpos_30868',['USB_HOST_INTENSET_DNRSM_Pos',['../component_2usb_8h.html#aa3de4dc6e13807025e0156bf72ed2c53',1,'usb.h']]],
['usb_5fhost_5fintenset_5fhsof_5fpos_30869',['USB_HOST_INTENSET_HSOF_Pos',['../component_2usb_8h.html#ac5baa0844de6d4db01ed945fed8dd091',1,'usb.h']]],
['usb_5fhost_5fintenset_5fmask_30870',['USB_HOST_INTENSET_MASK',['../component_2usb_8h.html#ace641ab2f45b65760f9ae5fb8aedc624',1,'usb.h']]],
['usb_5fhost_5fintenset_5foffset_30871',['USB_HOST_INTENSET_OFFSET',['../component_2usb_8h.html#ac8159edc1fdff46aa861b3b9bb188fc7',1,'usb.h']]],
['usb_5fhost_5fintenset_5framacer_5fpos_30872',['USB_HOST_INTENSET_RAMACER_Pos',['../component_2usb_8h.html#aabaa6f4dc758d21af2306fa941a13363',1,'usb.h']]],
['usb_5fhost_5fintenset_5fresetvalue_30873',['USB_HOST_INTENSET_RESETVALUE',['../component_2usb_8h.html#acddaea71188904ebb436191d27a9cb93',1,'usb.h']]],
['usb_5fhost_5fintenset_5frst_5fpos_30874',['USB_HOST_INTENSET_RST_Pos',['../component_2usb_8h.html#afbd02b599a5e29d263bd4e1537737921',1,'usb.h']]],
['usb_5fhost_5fintenset_5fuprsm_5fpos_30875',['USB_HOST_INTENSET_UPRSM_Pos',['../component_2usb_8h.html#a447cdc0932f5fadd6ca31dabbf7ed24f',1,'usb.h']]],
['usb_5fhost_5fintenset_5fwakeup_5fpos_30876',['USB_HOST_INTENSET_WAKEUP_Pos',['../component_2usb_8h.html#af1431001f10f4fd430dbf065eaf17fd8',1,'usb.h']]],
['usb_5fhost_5fintflag_5fdconn_5fpos_30877',['USB_HOST_INTFLAG_DCONN_Pos',['../component_2usb_8h.html#a2d296525954b29ff886f46496670c925',1,'usb.h']]],
['usb_5fhost_5fintflag_5fddisc_5fpos_30878',['USB_HOST_INTFLAG_DDISC_Pos',['../component_2usb_8h.html#aa82b03dd5f0da27fa0616d1c04633c47',1,'usb.h']]],
['usb_5fhost_5fintflag_5fdnrsm_5fpos_30879',['USB_HOST_INTFLAG_DNRSM_Pos',['../component_2usb_8h.html#a038c091d0853930f01ccdb9556c2c52b',1,'usb.h']]],
['usb_5fhost_5fintflag_5fhsof_5fpos_30880',['USB_HOST_INTFLAG_HSOF_Pos',['../component_2usb_8h.html#a757cd483097af42da10eebd928894607',1,'usb.h']]],
['usb_5fhost_5fintflag_5fmask_30881',['USB_HOST_INTFLAG_MASK',['../component_2usb_8h.html#a54c9228eedf365b92dff6c64effd515b',1,'usb.h']]],
['usb_5fhost_5fintflag_5foffset_30882',['USB_HOST_INTFLAG_OFFSET',['../component_2usb_8h.html#a2b6ce6969c3611175cffb2fb05ee9301',1,'usb.h']]],
['usb_5fhost_5fintflag_5framacer_5fpos_30883',['USB_HOST_INTFLAG_RAMACER_Pos',['../component_2usb_8h.html#adb7709487d21ffe00abf3343a66e85e8',1,'usb.h']]],
['usb_5fhost_5fintflag_5fresetvalue_30884',['USB_HOST_INTFLAG_RESETVALUE',['../component_2usb_8h.html#af6d8c82aa14169ff64f737cd593bc118',1,'usb.h']]],
['usb_5fhost_5fintflag_5frst_5fpos_30885',['USB_HOST_INTFLAG_RST_Pos',['../component_2usb_8h.html#a256557b0b79be1c833d01d891833354c',1,'usb.h']]],
['usb_5fhost_5fintflag_5fuprsm_5fpos_30886',['USB_HOST_INTFLAG_UPRSM_Pos',['../component_2usb_8h.html#a03d2c6191e0f7c5c597c619d3162221f',1,'usb.h']]],
['usb_5fhost_5fintflag_5fwakeup_5fpos_30887',['USB_HOST_INTFLAG_WAKEUP_Pos',['../component_2usb_8h.html#a6ebc1f3494f6fad4e601d84a0d956a44',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fbk_5fpos_30888',['USB_HOST_PCFG_BK_Pos',['../component_2usb_8h.html#a9efe4994804d257e0066706f7db38ed5',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fmask_30889',['USB_HOST_PCFG_MASK',['../component_2usb_8h.html#af1909487316395d5e6486c19151d9456',1,'usb.h']]],
['usb_5fhost_5fpcfg_5foffset_30890',['USB_HOST_PCFG_OFFSET',['../component_2usb_8h.html#a58a819b3f29b674214f3bd14c3353dfe',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fptoken_5fpos_30891',['USB_HOST_PCFG_PTOKEN_Pos',['../component_2usb_8h.html#a7281dcd97dbe27666a291c0f02724de7',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fptype_5fpos_30892',['USB_HOST_PCFG_PTYPE_Pos',['../component_2usb_8h.html#aa259f8f23e488d8bb4029b3b657e3d81',1,'usb.h']]],
['usb_5fhost_5fpcfg_5fresetvalue_30893',['USB_HOST_PCFG_RESETVALUE',['../component_2usb_8h.html#a4367bfbd135862a5143da5ff702822ec',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fauto_5fzlp_5fpos_30894',['USB_HOST_PCKSIZE_AUTO_ZLP_Pos',['../component_2usb_8h.html#adef73865672be8097945e8394e51cf70',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fbyte_5fcount_5fpos_30895',['USB_HOST_PCKSIZE_BYTE_COUNT_Pos',['../component_2usb_8h.html#a7ee7bcdf5c1d8ef7b805bc7b787d3783',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fmask_30896',['USB_HOST_PCKSIZE_MASK',['../component_2usb_8h.html#ada5ce5121914a282bb6d155be7a28771',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fmulti_5fpacket_5fsize_5fpos_30897',['USB_HOST_PCKSIZE_MULTI_PACKET_SIZE_Pos',['../component_2usb_8h.html#a5f8e56dec62e103c573bc4ffa746ca08',1,'usb.h']]],
['usb_5fhost_5fpcksize_5foffset_30898',['USB_HOST_PCKSIZE_OFFSET',['../component_2usb_8h.html#a8b233eb580307bb35fcb14e473db9cb0',1,'usb.h']]],
['usb_5fhost_5fpcksize_5fsize_5fpos_30899',['USB_HOST_PCKSIZE_SIZE_Pos',['../component_2usb_8h.html#ad23df7ffc2596d74fe96e78df45ef815',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fmask_30900',['USB_HOST_PINTENCLR_MASK',['../component_2usb_8h.html#a3335d306982defff68936f6beb48096f',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5foffset_30901',['USB_HOST_PINTENCLR_OFFSET',['../component_2usb_8h.html#a8f316a48734cb1038cf55616ab78cff0',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fperr_5fpos_30902',['USB_HOST_PINTENCLR_PERR_Pos',['../component_2usb_8h.html#a475bbae21c182b1aadf319a1612618a0',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fresetvalue_30903',['USB_HOST_PINTENCLR_RESETVALUE',['../component_2usb_8h.html#ae6db98830ccbf80ee18667908208a649',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5fstall_5fpos_30904',['USB_HOST_PINTENCLR_STALL_Pos',['../component_2usb_8h.html#ab840aa848520c29b62d489d312b02e48',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt0_5fpos_30905',['USB_HOST_PINTENCLR_TRCPT0_Pos',['../component_2usb_8h.html#afac89e47191cfdf2ffe2e994a2ff5924',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt1_5fpos_30906',['USB_HOST_PINTENCLR_TRCPT1_Pos',['../component_2usb_8h.html#a2b884329a98d8cd20e96bbe8ff2b8bde',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrcpt_5fpos_30907',['USB_HOST_PINTENCLR_TRCPT_Pos',['../component_2usb_8h.html#aac8d2314c05e22f66ed87241531e7b5f',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftrfail_5fpos_30908',['USB_HOST_PINTENCLR_TRFAIL_Pos',['../component_2usb_8h.html#ae82db3b32cc40753296c82030081ca1e',1,'usb.h']]],
['usb_5fhost_5fpintenclr_5ftxstp_5fpos_30909',['USB_HOST_PINTENCLR_TXSTP_Pos',['../component_2usb_8h.html#a28780c1d24c1c2a6b166a6de31426276',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fmask_30910',['USB_HOST_PINTENSET_MASK',['../component_2usb_8h.html#a567e00522894dfb4cce2a07fd7d7753f',1,'usb.h']]],
['usb_5fhost_5fpintenset_5foffset_30911',['USB_HOST_PINTENSET_OFFSET',['../component_2usb_8h.html#a0cdfa1da0694cabbdad4079707f72c64',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fperr_5fpos_30912',['USB_HOST_PINTENSET_PERR_Pos',['../component_2usb_8h.html#a697d9ca2c5d3dca91806202f8c093c16',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fresetvalue_30913',['USB_HOST_PINTENSET_RESETVALUE',['../component_2usb_8h.html#ae48fd472180bff8dd9cea691ba2b74b3',1,'usb.h']]],
['usb_5fhost_5fpintenset_5fstall_5fpos_30914',['USB_HOST_PINTENSET_STALL_Pos',['../component_2usb_8h.html#a969e85524bf49ef867a07ea10cca9b94',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt0_5fpos_30915',['USB_HOST_PINTENSET_TRCPT0_Pos',['../component_2usb_8h.html#a4b7651b4e3d83869c6c045765079992f',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt1_5fpos_30916',['USB_HOST_PINTENSET_TRCPT1_Pos',['../component_2usb_8h.html#abeb57796072c3677ac72065856f724fd',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrcpt_5fpos_30917',['USB_HOST_PINTENSET_TRCPT_Pos',['../component_2usb_8h.html#aa8d9cbab5a85407960e0d987baa97e88',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftrfail_5fpos_30918',['USB_HOST_PINTENSET_TRFAIL_Pos',['../component_2usb_8h.html#afbb9bdaeb83cb5980a0e4dc15c45003c',1,'usb.h']]],
['usb_5fhost_5fpintenset_5ftxstp_5fpos_30919',['USB_HOST_PINTENSET_TXSTP_Pos',['../component_2usb_8h.html#a2410505b193a7d1db8d6293994c9319c',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fmask_30920',['USB_HOST_PINTFLAG_MASK',['../component_2usb_8h.html#acc92030d03adc5cf54487929e7d16f31',1,'usb.h']]],
['usb_5fhost_5fpintflag_5foffset_30921',['USB_HOST_PINTFLAG_OFFSET',['../component_2usb_8h.html#abde50c13998aefad803aa1bc5bb632ca',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fperr_5fpos_30922',['USB_HOST_PINTFLAG_PERR_Pos',['../component_2usb_8h.html#af055ccba171a0d300e17e975a13a44fe',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fresetvalue_30923',['USB_HOST_PINTFLAG_RESETVALUE',['../component_2usb_8h.html#a380c80e8759c1b60946f0aaa79272179',1,'usb.h']]],
['usb_5fhost_5fpintflag_5fstall_5fpos_30924',['USB_HOST_PINTFLAG_STALL_Pos',['../component_2usb_8h.html#af04e6f5f6a282c7075514927b8b08e79',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt0_5fpos_30925',['USB_HOST_PINTFLAG_TRCPT0_Pos',['../component_2usb_8h.html#a49eea3cf8bffb9a21f866b05df261edc',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt1_5fpos_30926',['USB_HOST_PINTFLAG_TRCPT1_Pos',['../component_2usb_8h.html#a9d706438e9726dbfadf6e4497d3cb000',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrcpt_5fpos_30927',['USB_HOST_PINTFLAG_TRCPT_Pos',['../component_2usb_8h.html#a6100840c1a4b0a3dcc08c85f542d28da',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftrfail_5fpos_30928',['USB_HOST_PINTFLAG_TRFAIL_Pos',['../component_2usb_8h.html#aa2c544cfb41c4bfd57fc26c82846caac',1,'usb.h']]],
['usb_5fhost_5fpintflag_5ftxstp_5fpos_30929',['USB_HOST_PINTFLAG_TXSTP_Pos',['../component_2usb_8h.html#a6ce0137fc67ca41cbb5dbd41ba75fcb4',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint0_5fpos_30930',['USB_HOST_PINTSMRY_EPINT0_Pos',['../component_2usb_8h.html#a6657a88e54f315a23ad5bd37cb6e935c',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint1_5fpos_30931',['USB_HOST_PINTSMRY_EPINT1_Pos',['../component_2usb_8h.html#aec583c439db54f9bc7fa00afafae348e',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint2_5fpos_30932',['USB_HOST_PINTSMRY_EPINT2_Pos',['../component_2usb_8h.html#a79d1d05cc95207184b9b4f7585c2d595',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint3_5fpos_30933',['USB_HOST_PINTSMRY_EPINT3_Pos',['../component_2usb_8h.html#a00aacb23160ff0d107bee920b6fcba8c',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint4_5fpos_30934',['USB_HOST_PINTSMRY_EPINT4_Pos',['../component_2usb_8h.html#a31e19abf3c6772e81ce5bb2a507d07c8',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint5_5fpos_30935',['USB_HOST_PINTSMRY_EPINT5_Pos',['../component_2usb_8h.html#a0107fb520599781e8f7ffcc5f0a09fad',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint6_5fpos_30936',['USB_HOST_PINTSMRY_EPINT6_Pos',['../component_2usb_8h.html#a599d4683711515396759139cde13c6e5',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint7_5fpos_30937',['USB_HOST_PINTSMRY_EPINT7_Pos',['../component_2usb_8h.html#a8f5e1ba40fbc980904639a70389932b0',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fepint_5fpos_30938',['USB_HOST_PINTSMRY_EPINT_Pos',['../component_2usb_8h.html#a8190d68c8a2825f05d0c3fcfe946fc2b',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fmask_30939',['USB_HOST_PINTSMRY_MASK',['../component_2usb_8h.html#a31cbbf1ae1899ed073c8fcceb4e05297',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5foffset_30940',['USB_HOST_PINTSMRY_OFFSET',['../component_2usb_8h.html#a363886d4ca47877d3929b00876e591d3',1,'usb.h']]],
['usb_5fhost_5fpintsmry_5fresetvalue_30941',['USB_HOST_PINTSMRY_RESETVALUE',['../component_2usb_8h.html#ab99a8f3fccfcf969c757a48a9f6ff62f',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fbk0rdy_5fpos_30942',['USB_HOST_PSTATUS_BK0RDY_Pos',['../component_2usb_8h.html#aa6ea40a00ce6778d123408464b75fbaa',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fbk1rdy_5fpos_30943',['USB_HOST_PSTATUS_BK1RDY_Pos',['../component_2usb_8h.html#a139b1a9ed8cd1f5097a1e76fc790d123',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fcurbk_5fpos_30944',['USB_HOST_PSTATUS_CURBK_Pos',['../component_2usb_8h.html#a08a0b4f63249f700520bd18d25ed92ae',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fdtgl_5fpos_30945',['USB_HOST_PSTATUS_DTGL_Pos',['../component_2usb_8h.html#a8eadae650b89d48c3107ffe677762a31',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fmask_30946',['USB_HOST_PSTATUS_MASK',['../component_2usb_8h.html#a564ffd7778a4c3e18007fac35b0eb429',1,'usb.h']]],
['usb_5fhost_5fpstatus_5foffset_30947',['USB_HOST_PSTATUS_OFFSET',['../component_2usb_8h.html#aacfa6353d49fe07eb2bd0d2e67cfa3c5',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fpfreeze_5fpos_30948',['USB_HOST_PSTATUS_PFREEZE_Pos',['../component_2usb_8h.html#a79e25a4f4de62e5cc7983e573b20bf0d',1,'usb.h']]],
['usb_5fhost_5fpstatus_5fresetvalue_30949',['USB_HOST_PSTATUS_RESETVALUE',['../component_2usb_8h.html#a75bd95ebf0838b9c469b52801a6d0418',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fbk0rdy_5fpos_30950',['USB_HOST_PSTATUSCLR_BK0RDY_Pos',['../component_2usb_8h.html#af62a7896e46401a67d8c6f50566d847d',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fbk1rdy_5fpos_30951',['USB_HOST_PSTATUSCLR_BK1RDY_Pos',['../component_2usb_8h.html#a89f0ee390244f62fde34b21c8edda828',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fcurbk_5fpos_30952',['USB_HOST_PSTATUSCLR_CURBK_Pos',['../component_2usb_8h.html#a08ea45defeeadacf8ebf26fe2f1eab88',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fdtgl_5fpos_30953',['USB_HOST_PSTATUSCLR_DTGL_Pos',['../component_2usb_8h.html#aa135a18eaf6212f305d744bae2fec39e',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fmask_30954',['USB_HOST_PSTATUSCLR_MASK',['../component_2usb_8h.html#a4e4c315bd97f07c8f044f7a2192a8688',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5foffset_30955',['USB_HOST_PSTATUSCLR_OFFSET',['../component_2usb_8h.html#a92129ccf601aee20b48c49d623268f07',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fpfreeze_5fpos_30956',['USB_HOST_PSTATUSCLR_PFREEZE_Pos',['../component_2usb_8h.html#a6ef007165e7b1ca7d2f12e64065fb86f',1,'usb.h']]],
['usb_5fhost_5fpstatusclr_5fresetvalue_30957',['USB_HOST_PSTATUSCLR_RESETVALUE',['../component_2usb_8h.html#acaa82db7276caf9ad65dbd60cae53209',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fbk0rdy_5fpos_30958',['USB_HOST_PSTATUSSET_BK0RDY_Pos',['../component_2usb_8h.html#aec5a3bd2e701158f0f89951ad03376c0',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fbk1rdy_5fpos_30959',['USB_HOST_PSTATUSSET_BK1RDY_Pos',['../component_2usb_8h.html#a29a097200a386df28b748c586d4a3e69',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fcurbk_5fpos_30960',['USB_HOST_PSTATUSSET_CURBK_Pos',['../component_2usb_8h.html#af1e75c2eb453896f239918b5fdbf1ba3',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fdtgl_5fpos_30961',['USB_HOST_PSTATUSSET_DTGL_Pos',['../component_2usb_8h.html#a9c9b367ddba557817552ec11a6c0b0d0',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fmask_30962',['USB_HOST_PSTATUSSET_MASK',['../component_2usb_8h.html#a5d2001f603ae5a3d6e1418701d571ff9',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5foffset_30963',['USB_HOST_PSTATUSSET_OFFSET',['../component_2usb_8h.html#af96e2e0fc5fd241cafedc0b434ca113e',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fpfreeze_5fpos_30964',['USB_HOST_PSTATUSSET_PFREEZE_Pos',['../component_2usb_8h.html#afbb2360e8ab98fa4471c59a16820a6e3',1,'usb.h']]],
['usb_5fhost_5fpstatusset_5fresetvalue_30965',['USB_HOST_PSTATUSSET_RESETVALUE',['../component_2usb_8h.html#a613399fd9ee1b39ba68a640af1ef4717',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5fcrcerr_5fpos_30966',['USB_HOST_STATUS_BK_CRCERR_Pos',['../component_2usb_8h.html#a1753ef6c4200f600ff2f99f4e7dd2266',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5ferrorflow_5fpos_30967',['USB_HOST_STATUS_BK_ERRORFLOW_Pos',['../component_2usb_8h.html#a561f968ef18f6f772cbffe1a4d8c49a7',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5fmask_30968',['USB_HOST_STATUS_BK_MASK',['../component_2usb_8h.html#a2cbf893bf5b3ddc9f8f5017de44b76d1',1,'usb.h']]],
['usb_5fhost_5fstatus_5fbk_5foffset_30969',['USB_HOST_STATUS_BK_OFFSET',['../component_2usb_8h.html#a1382ea1ebed8e7cd8730f4b4463a1691',1,'usb.h']]],
['usb_5fhost_5fstatus_5flinestate_5fpos_30970',['USB_HOST_STATUS_LINESTATE_Pos',['../component_2usb_8h.html#a7920c7422a76bdd54c13a72422a6f45b',1,'usb.h']]],
['usb_5fhost_5fstatus_5fmask_30971',['USB_HOST_STATUS_MASK',['../component_2usb_8h.html#a6814d5a6c684c2231bf7403a84a94fe6',1,'usb.h']]],
['usb_5fhost_5fstatus_5foffset_30972',['USB_HOST_STATUS_OFFSET',['../component_2usb_8h.html#acc6a4375d1eb5f80b94d60fc9abcaf3a',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fcrc16er_5fpos_30973',['USB_HOST_STATUS_PIPE_CRC16ER_Pos',['../component_2usb_8h.html#adde1b1b8ff5f47767f92c4b67b8fd9d7',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fdapider_5fpos_30974',['USB_HOST_STATUS_PIPE_DAPIDER_Pos',['../component_2usb_8h.html#afa8f2dc77b052cf914a9ce0cb42fb969',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fdtgler_5fpos_30975',['USB_HOST_STATUS_PIPE_DTGLER_Pos',['../component_2usb_8h.html#a93d07e3d08d1fb4add66e964989ca46d',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fercnt_5fpos_30976',['USB_HOST_STATUS_PIPE_ERCNT_Pos',['../component_2usb_8h.html#aa7030c0aabad16cf53fb60b6e164c8d6',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fmask_30977',['USB_HOST_STATUS_PIPE_MASK',['../component_2usb_8h.html#a10314511f58df955f60ce4ab0180d2f1',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5foffset_30978',['USB_HOST_STATUS_PIPE_OFFSET',['../component_2usb_8h.html#ae558396ac775d9526bbc9549708954c5',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5fpider_5fpos_30979',['USB_HOST_STATUS_PIPE_PIDER_Pos',['../component_2usb_8h.html#aba9eac7b3c25d627e8a4e6918270ea11',1,'usb.h']]],
['usb_5fhost_5fstatus_5fpipe_5ftouter_5fpos_30980',['USB_HOST_STATUS_PIPE_TOUTER_Pos',['../component_2usb_8h.html#aa05c55d6a2f68f9c2c329f2adcf4b06d',1,'usb.h']]],
['usb_5fhost_5fstatus_5fresetvalue_30981',['USB_HOST_STATUS_RESETVALUE',['../component_2usb_8h.html#a429f288a9d0060ab087da7b2594075e9',1,'usb.h']]],
['usb_5fhost_5fstatus_5fspeed_5fpos_30982',['USB_HOST_STATUS_SPEED_Pos',['../component_2usb_8h.html#ab570e40f390c296f344a8201c7bae6cb',1,'usb.h']]],
['usb_5finst_5fnum_30983',['USB_INST_NUM',['../same54n19a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#aadb3b7fba8eac6fbccab507d0cdd75da',1,'USB_INST_NUM():&#160;same54p20a.h']]],
['usb_5finsts_30984',['USB_INSTS',['../same54n19a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#ae914fabf48ea56af34ccce34ab95a6c0',1,'USB_INSTS():&#160;same54p20a.h']]],
['usb_5fpadcal_5fmask_30985',['USB_PADCAL_MASK',['../component_2usb_8h.html#aca59b8f0e9981bca2d5e7c6fa280149c',1,'usb.h']]],
['usb_5fpadcal_5foffset_30986',['USB_PADCAL_OFFSET',['../component_2usb_8h.html#a1c0508048b08ee324d6564adaf1a6b57',1,'usb.h']]],
['usb_5fpadcal_5fresetvalue_30987',['USB_PADCAL_RESETVALUE',['../component_2usb_8h.html#a6c7b2cef3d26f85db52daf52360cb8ec',1,'usb.h']]],
['usb_5fpadcal_5ftransn_5fpos_30988',['USB_PADCAL_TRANSN_Pos',['../component_2usb_8h.html#a754c140a8bdd5eb6cff99bca755b8153',1,'usb.h']]],
['usb_5fpadcal_5ftransp_5fpos_30989',['USB_PADCAL_TRANSP_Pos',['../component_2usb_8h.html#a5354074947fba3a597f520f7ccb8479a',1,'usb.h']]],
['usb_5fpadcal_5ftrim_5fpos_30990',['USB_PADCAL_TRIM_Pos',['../component_2usb_8h.html#af292cae000be883ae59972f8bc45d599',1,'usb.h']]],
['usb_5fqosctrl_5fcqos_5fpos_30991',['USB_QOSCTRL_CQOS_Pos',['../component_2usb_8h.html#a94a68607bae2ab7fe1589ea07e2d275e',1,'usb.h']]],
['usb_5fqosctrl_5fdqos_5fpos_30992',['USB_QOSCTRL_DQOS_Pos',['../component_2usb_8h.html#aaf8f4d2d3d077ae0f1c2dd8e7d950c98',1,'usb.h']]],
['usb_5fqosctrl_5fmask_30993',['USB_QOSCTRL_MASK',['../component_2usb_8h.html#acc526183af8e284082cf608e530c9b62',1,'usb.h']]],
['usb_5fqosctrl_5foffset_30994',['USB_QOSCTRL_OFFSET',['../component_2usb_8h.html#aff0f1149acd2b3985a8cef9802dc22ac',1,'usb.h']]],
['usb_5fqosctrl_5fresetvalue_30995',['USB_QOSCTRL_RESETVALUE',['../component_2usb_8h.html#a2216c9f3da17f8981c60590f365e0010',1,'usb.h']]],
['usb_5fsyncbusy_5fenable_5fpos_30996',['USB_SYNCBUSY_ENABLE_Pos',['../component_2usb_8h.html#a2acb62fc769042bab5332f1ca75ee8bf',1,'usb.h']]],
['usb_5fsyncbusy_5fmask_30997',['USB_SYNCBUSY_MASK',['../component_2usb_8h.html#ad1ba325956578c9e9ba3bceb8e2a7b5c',1,'usb.h']]],
['usb_5fsyncbusy_5foffset_30998',['USB_SYNCBUSY_OFFSET',['../component_2usb_8h.html#adc44c2e959eb4402df15e1d094e6978d',1,'usb.h']]],
['usb_5fsyncbusy_5fresetvalue_30999',['USB_SYNCBUSY_RESETVALUE',['../component_2usb_8h.html#afcbd54b32a8e48e80eb02126f810464b',1,'usb.h']]],
['usb_5fsyncbusy_5fswrst_5fpos_31000',['USB_SYNCBUSY_SWRST_Pos',['../component_2usb_8h.html#a679838a7b765a2c5a9dfc3fc9b06daf8',1,'usb.h']]]
];

@ -1,87 +1,87 @@
var searchData=
[
['wdt_30931',['WDT',['../same54n19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p20a.h']]],
['wdt_5fclear_5fclear_5fkey_5fval_30932',['WDT_CLEAR_CLEAR_KEY_Val',['../component_2wdt_8h.html#ab9d83e2ecab22a9b789e5db90483a784',1,'wdt.h']]],
['wdt_5fclear_5fclear_5fpos_30933',['WDT_CLEAR_CLEAR_Pos',['../component_2wdt_8h.html#a3059b0505f94ff8b2ff25fb53dd56999',1,'wdt.h']]],
['wdt_5fclear_5fmask_30934',['WDT_CLEAR_MASK',['../component_2wdt_8h.html#ac1d3629de6e3c37cd93d94aad9cec4e6',1,'wdt.h']]],
['wdt_5fclear_5foffset_30935',['WDT_CLEAR_OFFSET',['../component_2wdt_8h.html#af1f57bd7a1a8ce2ae94864a7cea2e6e4',1,'wdt.h']]],
['wdt_5fclear_5fresetvalue_30936',['WDT_CLEAR_RESETVALUE',['../component_2wdt_8h.html#a9192db22a2c21f7afda2b8117a96d6ef',1,'wdt.h']]],
['wdt_5fconfig_5fmask_30937',['WDT_CONFIG_MASK',['../component_2wdt_8h.html#af9e2843301ae8da8b2d8a3d2c93a3f88',1,'wdt.h']]],
['wdt_5fconfig_5foffset_30938',['WDT_CONFIG_OFFSET',['../component_2wdt_8h.html#a0d3293445b10bdfdf733067d75f7b98c',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc1024_5fval_30939',['WDT_CONFIG_PER_CYC1024_Val',['../component_2wdt_8h.html#a987e1fd45b91a9fbb1f543c80c8d13c4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc128_5fval_30940',['WDT_CONFIG_PER_CYC128_Val',['../component_2wdt_8h.html#accbab206b332dddc6fa1a01729fc092f',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16384_5fval_30941',['WDT_CONFIG_PER_CYC16384_Val',['../component_2wdt_8h.html#a24efb4b1dd8e5db35e462f94bceaadec',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16_5fval_30942',['WDT_CONFIG_PER_CYC16_Val',['../component_2wdt_8h.html#afba76efe714284a6759149005d42e068',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc2048_5fval_30943',['WDT_CONFIG_PER_CYC2048_Val',['../component_2wdt_8h.html#a67b3ace504ea66ff9716a81fe4ffa566',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc256_5fval_30944',['WDT_CONFIG_PER_CYC256_Val',['../component_2wdt_8h.html#a97dab16547481df7f3c7a0c8b1ab5797',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc32_5fval_30945',['WDT_CONFIG_PER_CYC32_Val',['../component_2wdt_8h.html#ae718e0cf52132982208c6081d329f114',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc4096_5fval_30946',['WDT_CONFIG_PER_CYC4096_Val',['../component_2wdt_8h.html#a50edfcd24c0430413186fc6794354abb',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc512_5fval_30947',['WDT_CONFIG_PER_CYC512_Val',['../component_2wdt_8h.html#a9d9e62b7d1fd5df1ec6e15f0546ea76b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc64_5fval_30948',['WDT_CONFIG_PER_CYC64_Val',['../component_2wdt_8h.html#aed410571015c1d792431f3c6e3503222',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8192_5fval_30949',['WDT_CONFIG_PER_CYC8192_Val',['../component_2wdt_8h.html#ad72220cefef593dcdc0c97430cdd381b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8_5fval_30950',['WDT_CONFIG_PER_CYC8_Val',['../component_2wdt_8h.html#abcc004b82a9df108f7f9916d057943f4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fpos_30951',['WDT_CONFIG_PER_Pos',['../component_2wdt_8h.html#a55662a2fa7e16fd6cc7a5e0800034360',1,'wdt.h']]],
['wdt_5fconfig_5fresetvalue_30952',['WDT_CONFIG_RESETVALUE',['../component_2wdt_8h.html#a963315e6b2e0dfb513fb236eae8386b0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc1024_5fval_30953',['WDT_CONFIG_WINDOW_CYC1024_Val',['../component_2wdt_8h.html#a24922404455be3a1c28d404d7428e97b',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc128_5fval_30954',['WDT_CONFIG_WINDOW_CYC128_Val',['../component_2wdt_8h.html#ad416dcc6288734247575eaddfe656d7c',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16384_5fval_30955',['WDT_CONFIG_WINDOW_CYC16384_Val',['../component_2wdt_8h.html#ac72140ef0438e63559f1bffac329d3f9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16_5fval_30956',['WDT_CONFIG_WINDOW_CYC16_Val',['../component_2wdt_8h.html#a1c19b5a551e3cf9e57c3291657fcaa85',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc2048_5fval_30957',['WDT_CONFIG_WINDOW_CYC2048_Val',['../component_2wdt_8h.html#a93691d3f0e1d8e71d7bd5aea7ac72a08',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc256_5fval_30958',['WDT_CONFIG_WINDOW_CYC256_Val',['../component_2wdt_8h.html#ae8ffa2201aa9c9f31e20c942b3a5cfc9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc32_5fval_30959',['WDT_CONFIG_WINDOW_CYC32_Val',['../component_2wdt_8h.html#a42465a866ecbf65f33e452beb05cb8d0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc4096_5fval_30960',['WDT_CONFIG_WINDOW_CYC4096_Val',['../component_2wdt_8h.html#a0197f2f68d745a025fc4a97540f34c62',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc512_5fval_30961',['WDT_CONFIG_WINDOW_CYC512_Val',['../component_2wdt_8h.html#a6df0e2a9ac9053130ab8673ec4801264',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc64_5fval_30962',['WDT_CONFIG_WINDOW_CYC64_Val',['../component_2wdt_8h.html#ac49da38933b208ae93a8e042ab11b6e0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8192_5fval_30963',['WDT_CONFIG_WINDOW_CYC8192_Val',['../component_2wdt_8h.html#ab3c375b315a145b56484d972888b7129',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8_5fval_30964',['WDT_CONFIG_WINDOW_CYC8_Val',['../component_2wdt_8h.html#a6c3961932249ae130fcfba2c4a7eb4d5',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fpos_30965',['WDT_CONFIG_WINDOW_Pos',['../component_2wdt_8h.html#ab2d3263bd9ad06e3257234d9da623ef8',1,'wdt.h']]],
['wdt_5fctrla_5falwayson_5fpos_30966',['WDT_CTRLA_ALWAYSON_Pos',['../component_2wdt_8h.html#a6b4e9162576f200eeaab0bfeaccbf2e2',1,'wdt.h']]],
['wdt_5fctrla_5fenable_5fpos_30967',['WDT_CTRLA_ENABLE_Pos',['../component_2wdt_8h.html#aa9c995e8a97dca656cabe42986621fa5',1,'wdt.h']]],
['wdt_5fctrla_5fmask_30968',['WDT_CTRLA_MASK',['../component_2wdt_8h.html#ac050a87c1be178866d39e2946773af8c',1,'wdt.h']]],
['wdt_5fctrla_5foffset_30969',['WDT_CTRLA_OFFSET',['../component_2wdt_8h.html#a03570806578a653a7b3f4ef9588a21a3',1,'wdt.h']]],
['wdt_5fctrla_5fresetvalue_30970',['WDT_CTRLA_RESETVALUE',['../component_2wdt_8h.html#a6c0fe1613fd8c8330c2da90121786102',1,'wdt.h']]],
['wdt_5fctrla_5fwen_5fpos_30971',['WDT_CTRLA_WEN_Pos',['../component_2wdt_8h.html#ad4b6de3d877eecbb024d2a03ce192f77',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc1024_5fval_30972',['WDT_EWCTRL_EWOFFSET_CYC1024_Val',['../component_2wdt_8h.html#a3367bd5665970adb6317162a90160774',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc128_5fval_30973',['WDT_EWCTRL_EWOFFSET_CYC128_Val',['../component_2wdt_8h.html#a24a8548c24ad2cdf41ad77b241ec63dd',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16384_5fval_30974',['WDT_EWCTRL_EWOFFSET_CYC16384_Val',['../component_2wdt_8h.html#a901cf141d98d615ccc2103621f5a22b6',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16_5fval_30975',['WDT_EWCTRL_EWOFFSET_CYC16_Val',['../component_2wdt_8h.html#ab5ebce145846cd1b4d580d1b0ab55ffa',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc2048_5fval_30976',['WDT_EWCTRL_EWOFFSET_CYC2048_Val',['../component_2wdt_8h.html#ab0f7be4f8e1b1fca28f6aa34ffd55b20',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc256_5fval_30977',['WDT_EWCTRL_EWOFFSET_CYC256_Val',['../component_2wdt_8h.html#acc1a3dfab64345f1b995361254cd610d',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc32_5fval_30978',['WDT_EWCTRL_EWOFFSET_CYC32_Val',['../component_2wdt_8h.html#a30885a7b4794755b0b5b827d24d84a95',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc4096_5fval_30979',['WDT_EWCTRL_EWOFFSET_CYC4096_Val',['../component_2wdt_8h.html#a594b6b1082b7066707ca8b1913fa22b1',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc512_5fval_30980',['WDT_EWCTRL_EWOFFSET_CYC512_Val',['../component_2wdt_8h.html#a9de5c16a1592d6967a3fbf429af4ce75',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc64_5fval_30981',['WDT_EWCTRL_EWOFFSET_CYC64_Val',['../component_2wdt_8h.html#aac5bdb4b6c7616f440514dbd3dd59046',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8192_5fval_30982',['WDT_EWCTRL_EWOFFSET_CYC8192_Val',['../component_2wdt_8h.html#abe331c5db344d09c5081b32d7bc4f030',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8_5fval_30983',['WDT_EWCTRL_EWOFFSET_CYC8_Val',['../component_2wdt_8h.html#acf599641c504019b8fd7c49bca7098fc',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fpos_30984',['WDT_EWCTRL_EWOFFSET_Pos',['../component_2wdt_8h.html#abb7809711cdf296121c2108b55d3ad33',1,'wdt.h']]],
['wdt_5fewctrl_5fmask_30985',['WDT_EWCTRL_MASK',['../component_2wdt_8h.html#ad11e24dd62ea0905ed507f49f62c55c2',1,'wdt.h']]],
['wdt_5fewctrl_5foffset_30986',['WDT_EWCTRL_OFFSET',['../component_2wdt_8h.html#a6d95eafd522ac7c24f3c5bfd19e10af5',1,'wdt.h']]],
['wdt_5fewctrl_5fresetvalue_30987',['WDT_EWCTRL_RESETVALUE',['../component_2wdt_8h.html#a9379b9c03732aac0dc5eacfe28fd35f8',1,'wdt.h']]],
['wdt_5ffuses_5falwayson_5fpos_30988',['WDT_FUSES_ALWAYSON_Pos',['../component_2nvmctrl_8h.html#aad4f4fec9a3339faea07db386174b75e',1,'nvmctrl.h']]],
['wdt_5ffuses_5fenable_5fpos_30989',['WDT_FUSES_ENABLE_Pos',['../component_2nvmctrl_8h.html#a3e49258fa52a7aededcc7b3e54533ef5',1,'nvmctrl.h']]],
['wdt_5ffuses_5fewoffset_5fpos_30990',['WDT_FUSES_EWOFFSET_Pos',['../component_2nvmctrl_8h.html#ae2f89f15eabc293811402651af74d059',1,'nvmctrl.h']]],
['wdt_5ffuses_5fper_5fpos_30991',['WDT_FUSES_PER_Pos',['../component_2nvmctrl_8h.html#a87db8b2566c9dd04e5c0cb3838175952',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwen_5fpos_30992',['WDT_FUSES_WEN_Pos',['../component_2nvmctrl_8h.html#a87c9e50a26f5095b90217f854536d90f',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwindow_5fpos_30993',['WDT_FUSES_WINDOW_Pos',['../component_2nvmctrl_8h.html#a79ba176ad4ff912b404632c0d0f7541e',1,'nvmctrl.h']]],
['wdt_5finst_5fnum_30994',['WDT_INST_NUM',['../same54n19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p20a.h']]],
['wdt_5finsts_30995',['WDT_INSTS',['../same54n19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p20a.h']]],
['wdt_5fintenclr_5few_5fpos_30996',['WDT_INTENCLR_EW_Pos',['../component_2wdt_8h.html#acbfecace29fe0f43e6f3fb8799813157',1,'wdt.h']]],
['wdt_5fintenclr_5fmask_30997',['WDT_INTENCLR_MASK',['../component_2wdt_8h.html#a261d65af584086a561448aeedeabbd76',1,'wdt.h']]],
['wdt_5fintenclr_5foffset_30998',['WDT_INTENCLR_OFFSET',['../component_2wdt_8h.html#a98f37addcc6abfc015425013c3a39336',1,'wdt.h']]],
['wdt_5fintenclr_5fresetvalue_30999',['WDT_INTENCLR_RESETVALUE',['../component_2wdt_8h.html#a9e7f5a23ae81064086ecf4e877116ee0',1,'wdt.h']]],
['wdt_5fintenset_5few_5fpos_31000',['WDT_INTENSET_EW_Pos',['../component_2wdt_8h.html#ab3754e1861849b0fbb6d056b8b6ac398',1,'wdt.h']]],
['wdt_5fintenset_5fmask_31001',['WDT_INTENSET_MASK',['../component_2wdt_8h.html#a3b4c09a36c0f08d32b983e12e06c706f',1,'wdt.h']]],
['wdt_5fintenset_5foffset_31002',['WDT_INTENSET_OFFSET',['../component_2wdt_8h.html#a6497c59af95b3fd1aad2b074a75e4173',1,'wdt.h']]],
['wdt_5fintenset_5fresetvalue_31003',['WDT_INTENSET_RESETVALUE',['../component_2wdt_8h.html#a9ac75e52d30478302b59583789dca453',1,'wdt.h']]],
['wdt_5fintflag_5few_5fpos_31004',['WDT_INTFLAG_EW_Pos',['../component_2wdt_8h.html#ad92b31e2c0815fceba1ace8b061ffe25',1,'wdt.h']]],
['wdt_5fintflag_5fmask_31005',['WDT_INTFLAG_MASK',['../component_2wdt_8h.html#a0f9add7d544318a00033f236441e048e',1,'wdt.h']]],
['wdt_5fintflag_5foffset_31006',['WDT_INTFLAG_OFFSET',['../component_2wdt_8h.html#a8ccc2de815933812cd609179de30fc5b',1,'wdt.h']]],
['wdt_5fintflag_5fresetvalue_31007',['WDT_INTFLAG_RESETVALUE',['../component_2wdt_8h.html#ac9159591ef9fa9ebb4958fa38ad9b56c',1,'wdt.h']]],
['wdt_5fsyncbusy_5falwayson_5fpos_31008',['WDT_SYNCBUSY_ALWAYSON_Pos',['../component_2wdt_8h.html#a963f6e267713299cc68adf831b29abba',1,'wdt.h']]],
['wdt_5fsyncbusy_5fclear_5fpos_31009',['WDT_SYNCBUSY_CLEAR_Pos',['../component_2wdt_8h.html#ab72171e5b655f45b9033b9dbff8f8901',1,'wdt.h']]],
['wdt_5fsyncbusy_5fenable_5fpos_31010',['WDT_SYNCBUSY_ENABLE_Pos',['../component_2wdt_8h.html#a5f9f84ef499127159a9edb0de1d36dcb',1,'wdt.h']]],
['wdt_5fsyncbusy_5fmask_31011',['WDT_SYNCBUSY_MASK',['../component_2wdt_8h.html#aaa251df37c6a6d581f6ccba3728ca873',1,'wdt.h']]],
['wdt_5fsyncbusy_5foffset_31012',['WDT_SYNCBUSY_OFFSET',['../component_2wdt_8h.html#a5ff5966b2f81dc8c7364dd59ed6c1ae1',1,'wdt.h']]],
['wdt_5fsyncbusy_5fresetvalue_31013',['WDT_SYNCBUSY_RESETVALUE',['../component_2wdt_8h.html#a875a34b4a1874949a2dfb5047cf57785',1,'wdt.h']]],
['wdt_5fsyncbusy_5fwen_5fpos_31014',['WDT_SYNCBUSY_WEN_Pos',['../component_2wdt_8h.html#a2ded1ef3f13790d024811b1764038d6e',1,'wdt.h']]]
['wdt_31001',['WDT',['../same54n19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a9646f603341e1ee220bf5d9948f05cb0',1,'WDT():&#160;same54p20a.h']]],
['wdt_5fclear_5fclear_5fkey_5fval_31002',['WDT_CLEAR_CLEAR_KEY_Val',['../component_2wdt_8h.html#ab9d83e2ecab22a9b789e5db90483a784',1,'wdt.h']]],
['wdt_5fclear_5fclear_5fpos_31003',['WDT_CLEAR_CLEAR_Pos',['../component_2wdt_8h.html#a3059b0505f94ff8b2ff25fb53dd56999',1,'wdt.h']]],
['wdt_5fclear_5fmask_31004',['WDT_CLEAR_MASK',['../component_2wdt_8h.html#ac1d3629de6e3c37cd93d94aad9cec4e6',1,'wdt.h']]],
['wdt_5fclear_5foffset_31005',['WDT_CLEAR_OFFSET',['../component_2wdt_8h.html#af1f57bd7a1a8ce2ae94864a7cea2e6e4',1,'wdt.h']]],
['wdt_5fclear_5fresetvalue_31006',['WDT_CLEAR_RESETVALUE',['../component_2wdt_8h.html#a9192db22a2c21f7afda2b8117a96d6ef',1,'wdt.h']]],
['wdt_5fconfig_5fmask_31007',['WDT_CONFIG_MASK',['../component_2wdt_8h.html#af9e2843301ae8da8b2d8a3d2c93a3f88',1,'wdt.h']]],
['wdt_5fconfig_5foffset_31008',['WDT_CONFIG_OFFSET',['../component_2wdt_8h.html#a0d3293445b10bdfdf733067d75f7b98c',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc1024_5fval_31009',['WDT_CONFIG_PER_CYC1024_Val',['../component_2wdt_8h.html#a987e1fd45b91a9fbb1f543c80c8d13c4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc128_5fval_31010',['WDT_CONFIG_PER_CYC128_Val',['../component_2wdt_8h.html#accbab206b332dddc6fa1a01729fc092f',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16384_5fval_31011',['WDT_CONFIG_PER_CYC16384_Val',['../component_2wdt_8h.html#a24efb4b1dd8e5db35e462f94bceaadec',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc16_5fval_31012',['WDT_CONFIG_PER_CYC16_Val',['../component_2wdt_8h.html#afba76efe714284a6759149005d42e068',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc2048_5fval_31013',['WDT_CONFIG_PER_CYC2048_Val',['../component_2wdt_8h.html#a67b3ace504ea66ff9716a81fe4ffa566',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc256_5fval_31014',['WDT_CONFIG_PER_CYC256_Val',['../component_2wdt_8h.html#a97dab16547481df7f3c7a0c8b1ab5797',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc32_5fval_31015',['WDT_CONFIG_PER_CYC32_Val',['../component_2wdt_8h.html#ae718e0cf52132982208c6081d329f114',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc4096_5fval_31016',['WDT_CONFIG_PER_CYC4096_Val',['../component_2wdt_8h.html#a50edfcd24c0430413186fc6794354abb',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc512_5fval_31017',['WDT_CONFIG_PER_CYC512_Val',['../component_2wdt_8h.html#a9d9e62b7d1fd5df1ec6e15f0546ea76b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc64_5fval_31018',['WDT_CONFIG_PER_CYC64_Val',['../component_2wdt_8h.html#aed410571015c1d792431f3c6e3503222',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8192_5fval_31019',['WDT_CONFIG_PER_CYC8192_Val',['../component_2wdt_8h.html#ad72220cefef593dcdc0c97430cdd381b',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fcyc8_5fval_31020',['WDT_CONFIG_PER_CYC8_Val',['../component_2wdt_8h.html#abcc004b82a9df108f7f9916d057943f4',1,'wdt.h']]],
['wdt_5fconfig_5fper_5fpos_31021',['WDT_CONFIG_PER_Pos',['../component_2wdt_8h.html#a55662a2fa7e16fd6cc7a5e0800034360',1,'wdt.h']]],
['wdt_5fconfig_5fresetvalue_31022',['WDT_CONFIG_RESETVALUE',['../component_2wdt_8h.html#a963315e6b2e0dfb513fb236eae8386b0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc1024_5fval_31023',['WDT_CONFIG_WINDOW_CYC1024_Val',['../component_2wdt_8h.html#a24922404455be3a1c28d404d7428e97b',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc128_5fval_31024',['WDT_CONFIG_WINDOW_CYC128_Val',['../component_2wdt_8h.html#ad416dcc6288734247575eaddfe656d7c',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16384_5fval_31025',['WDT_CONFIG_WINDOW_CYC16384_Val',['../component_2wdt_8h.html#ac72140ef0438e63559f1bffac329d3f9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc16_5fval_31026',['WDT_CONFIG_WINDOW_CYC16_Val',['../component_2wdt_8h.html#a1c19b5a551e3cf9e57c3291657fcaa85',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc2048_5fval_31027',['WDT_CONFIG_WINDOW_CYC2048_Val',['../component_2wdt_8h.html#a93691d3f0e1d8e71d7bd5aea7ac72a08',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc256_5fval_31028',['WDT_CONFIG_WINDOW_CYC256_Val',['../component_2wdt_8h.html#ae8ffa2201aa9c9f31e20c942b3a5cfc9',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc32_5fval_31029',['WDT_CONFIG_WINDOW_CYC32_Val',['../component_2wdt_8h.html#a42465a866ecbf65f33e452beb05cb8d0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc4096_5fval_31030',['WDT_CONFIG_WINDOW_CYC4096_Val',['../component_2wdt_8h.html#a0197f2f68d745a025fc4a97540f34c62',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc512_5fval_31031',['WDT_CONFIG_WINDOW_CYC512_Val',['../component_2wdt_8h.html#a6df0e2a9ac9053130ab8673ec4801264',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc64_5fval_31032',['WDT_CONFIG_WINDOW_CYC64_Val',['../component_2wdt_8h.html#ac49da38933b208ae93a8e042ab11b6e0',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8192_5fval_31033',['WDT_CONFIG_WINDOW_CYC8192_Val',['../component_2wdt_8h.html#ab3c375b315a145b56484d972888b7129',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fcyc8_5fval_31034',['WDT_CONFIG_WINDOW_CYC8_Val',['../component_2wdt_8h.html#a6c3961932249ae130fcfba2c4a7eb4d5',1,'wdt.h']]],
['wdt_5fconfig_5fwindow_5fpos_31035',['WDT_CONFIG_WINDOW_Pos',['../component_2wdt_8h.html#ab2d3263bd9ad06e3257234d9da623ef8',1,'wdt.h']]],
['wdt_5fctrla_5falwayson_5fpos_31036',['WDT_CTRLA_ALWAYSON_Pos',['../component_2wdt_8h.html#a6b4e9162576f200eeaab0bfeaccbf2e2',1,'wdt.h']]],
['wdt_5fctrla_5fenable_5fpos_31037',['WDT_CTRLA_ENABLE_Pos',['../component_2wdt_8h.html#aa9c995e8a97dca656cabe42986621fa5',1,'wdt.h']]],
['wdt_5fctrla_5fmask_31038',['WDT_CTRLA_MASK',['../component_2wdt_8h.html#ac050a87c1be178866d39e2946773af8c',1,'wdt.h']]],
['wdt_5fctrla_5foffset_31039',['WDT_CTRLA_OFFSET',['../component_2wdt_8h.html#a03570806578a653a7b3f4ef9588a21a3',1,'wdt.h']]],
['wdt_5fctrla_5fresetvalue_31040',['WDT_CTRLA_RESETVALUE',['../component_2wdt_8h.html#a6c0fe1613fd8c8330c2da90121786102',1,'wdt.h']]],
['wdt_5fctrla_5fwen_5fpos_31041',['WDT_CTRLA_WEN_Pos',['../component_2wdt_8h.html#ad4b6de3d877eecbb024d2a03ce192f77',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc1024_5fval_31042',['WDT_EWCTRL_EWOFFSET_CYC1024_Val',['../component_2wdt_8h.html#a3367bd5665970adb6317162a90160774',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc128_5fval_31043',['WDT_EWCTRL_EWOFFSET_CYC128_Val',['../component_2wdt_8h.html#a24a8548c24ad2cdf41ad77b241ec63dd',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16384_5fval_31044',['WDT_EWCTRL_EWOFFSET_CYC16384_Val',['../component_2wdt_8h.html#a901cf141d98d615ccc2103621f5a22b6',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc16_5fval_31045',['WDT_EWCTRL_EWOFFSET_CYC16_Val',['../component_2wdt_8h.html#ab5ebce145846cd1b4d580d1b0ab55ffa',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc2048_5fval_31046',['WDT_EWCTRL_EWOFFSET_CYC2048_Val',['../component_2wdt_8h.html#ab0f7be4f8e1b1fca28f6aa34ffd55b20',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc256_5fval_31047',['WDT_EWCTRL_EWOFFSET_CYC256_Val',['../component_2wdt_8h.html#acc1a3dfab64345f1b995361254cd610d',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc32_5fval_31048',['WDT_EWCTRL_EWOFFSET_CYC32_Val',['../component_2wdt_8h.html#a30885a7b4794755b0b5b827d24d84a95',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc4096_5fval_31049',['WDT_EWCTRL_EWOFFSET_CYC4096_Val',['../component_2wdt_8h.html#a594b6b1082b7066707ca8b1913fa22b1',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc512_5fval_31050',['WDT_EWCTRL_EWOFFSET_CYC512_Val',['../component_2wdt_8h.html#a9de5c16a1592d6967a3fbf429af4ce75',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc64_5fval_31051',['WDT_EWCTRL_EWOFFSET_CYC64_Val',['../component_2wdt_8h.html#aac5bdb4b6c7616f440514dbd3dd59046',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8192_5fval_31052',['WDT_EWCTRL_EWOFFSET_CYC8192_Val',['../component_2wdt_8h.html#abe331c5db344d09c5081b32d7bc4f030',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fcyc8_5fval_31053',['WDT_EWCTRL_EWOFFSET_CYC8_Val',['../component_2wdt_8h.html#acf599641c504019b8fd7c49bca7098fc',1,'wdt.h']]],
['wdt_5fewctrl_5fewoffset_5fpos_31054',['WDT_EWCTRL_EWOFFSET_Pos',['../component_2wdt_8h.html#abb7809711cdf296121c2108b55d3ad33',1,'wdt.h']]],
['wdt_5fewctrl_5fmask_31055',['WDT_EWCTRL_MASK',['../component_2wdt_8h.html#ad11e24dd62ea0905ed507f49f62c55c2',1,'wdt.h']]],
['wdt_5fewctrl_5foffset_31056',['WDT_EWCTRL_OFFSET',['../component_2wdt_8h.html#a6d95eafd522ac7c24f3c5bfd19e10af5',1,'wdt.h']]],
['wdt_5fewctrl_5fresetvalue_31057',['WDT_EWCTRL_RESETVALUE',['../component_2wdt_8h.html#a9379b9c03732aac0dc5eacfe28fd35f8',1,'wdt.h']]],
['wdt_5ffuses_5falwayson_5fpos_31058',['WDT_FUSES_ALWAYSON_Pos',['../component_2nvmctrl_8h.html#aad4f4fec9a3339faea07db386174b75e',1,'nvmctrl.h']]],
['wdt_5ffuses_5fenable_5fpos_31059',['WDT_FUSES_ENABLE_Pos',['../component_2nvmctrl_8h.html#a3e49258fa52a7aededcc7b3e54533ef5',1,'nvmctrl.h']]],
['wdt_5ffuses_5fewoffset_5fpos_31060',['WDT_FUSES_EWOFFSET_Pos',['../component_2nvmctrl_8h.html#ae2f89f15eabc293811402651af74d059',1,'nvmctrl.h']]],
['wdt_5ffuses_5fper_5fpos_31061',['WDT_FUSES_PER_Pos',['../component_2nvmctrl_8h.html#a87db8b2566c9dd04e5c0cb3838175952',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwen_5fpos_31062',['WDT_FUSES_WEN_Pos',['../component_2nvmctrl_8h.html#a87c9e50a26f5095b90217f854536d90f',1,'nvmctrl.h']]],
['wdt_5ffuses_5fwindow_5fpos_31063',['WDT_FUSES_WINDOW_Pos',['../component_2nvmctrl_8h.html#a79ba176ad4ff912b404632c0d0f7541e',1,'nvmctrl.h']]],
['wdt_5finst_5fnum_31064',['WDT_INST_NUM',['../same54n19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#afac1297a6a4da34e5ff29b61dfb5552e',1,'WDT_INST_NUM():&#160;same54p20a.h']]],
['wdt_5finsts_31065',['WDT_INSTS',['../same54n19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#acde2ac91a26c9c096b18f47009b48b81',1,'WDT_INSTS():&#160;same54p20a.h']]],
['wdt_5fintenclr_5few_5fpos_31066',['WDT_INTENCLR_EW_Pos',['../component_2wdt_8h.html#acbfecace29fe0f43e6f3fb8799813157',1,'wdt.h']]],
['wdt_5fintenclr_5fmask_31067',['WDT_INTENCLR_MASK',['../component_2wdt_8h.html#a261d65af584086a561448aeedeabbd76',1,'wdt.h']]],
['wdt_5fintenclr_5foffset_31068',['WDT_INTENCLR_OFFSET',['../component_2wdt_8h.html#a98f37addcc6abfc015425013c3a39336',1,'wdt.h']]],
['wdt_5fintenclr_5fresetvalue_31069',['WDT_INTENCLR_RESETVALUE',['../component_2wdt_8h.html#a9e7f5a23ae81064086ecf4e877116ee0',1,'wdt.h']]],
['wdt_5fintenset_5few_5fpos_31070',['WDT_INTENSET_EW_Pos',['../component_2wdt_8h.html#ab3754e1861849b0fbb6d056b8b6ac398',1,'wdt.h']]],
['wdt_5fintenset_5fmask_31071',['WDT_INTENSET_MASK',['../component_2wdt_8h.html#a3b4c09a36c0f08d32b983e12e06c706f',1,'wdt.h']]],
['wdt_5fintenset_5foffset_31072',['WDT_INTENSET_OFFSET',['../component_2wdt_8h.html#a6497c59af95b3fd1aad2b074a75e4173',1,'wdt.h']]],
['wdt_5fintenset_5fresetvalue_31073',['WDT_INTENSET_RESETVALUE',['../component_2wdt_8h.html#a9ac75e52d30478302b59583789dca453',1,'wdt.h']]],
['wdt_5fintflag_5few_5fpos_31074',['WDT_INTFLAG_EW_Pos',['../component_2wdt_8h.html#ad92b31e2c0815fceba1ace8b061ffe25',1,'wdt.h']]],
['wdt_5fintflag_5fmask_31075',['WDT_INTFLAG_MASK',['../component_2wdt_8h.html#a0f9add7d544318a00033f236441e048e',1,'wdt.h']]],
['wdt_5fintflag_5foffset_31076',['WDT_INTFLAG_OFFSET',['../component_2wdt_8h.html#a8ccc2de815933812cd609179de30fc5b',1,'wdt.h']]],
['wdt_5fintflag_5fresetvalue_31077',['WDT_INTFLAG_RESETVALUE',['../component_2wdt_8h.html#ac9159591ef9fa9ebb4958fa38ad9b56c',1,'wdt.h']]],
['wdt_5fsyncbusy_5falwayson_5fpos_31078',['WDT_SYNCBUSY_ALWAYSON_Pos',['../component_2wdt_8h.html#a963f6e267713299cc68adf831b29abba',1,'wdt.h']]],
['wdt_5fsyncbusy_5fclear_5fpos_31079',['WDT_SYNCBUSY_CLEAR_Pos',['../component_2wdt_8h.html#ab72171e5b655f45b9033b9dbff8f8901',1,'wdt.h']]],
['wdt_5fsyncbusy_5fenable_5fpos_31080',['WDT_SYNCBUSY_ENABLE_Pos',['../component_2wdt_8h.html#a5f9f84ef499127159a9edb0de1d36dcb',1,'wdt.h']]],
['wdt_5fsyncbusy_5fmask_31081',['WDT_SYNCBUSY_MASK',['../component_2wdt_8h.html#aaa251df37c6a6d581f6ccba3728ca873',1,'wdt.h']]],
['wdt_5fsyncbusy_5foffset_31082',['WDT_SYNCBUSY_OFFSET',['../component_2wdt_8h.html#a5ff5966b2f81dc8c7364dd59ed6c1ae1',1,'wdt.h']]],
['wdt_5fsyncbusy_5fresetvalue_31083',['WDT_SYNCBUSY_RESETVALUE',['../component_2wdt_8h.html#a875a34b4a1874949a2dfb5047cf57785',1,'wdt.h']]],
['wdt_5fsyncbusy_5fwen_5fpos_31084',['WDT_SYNCBUSY_WEN_Pos',['../component_2wdt_8h.html#a2ded1ef3f13790d024811b1764038d6e',1,'wdt.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['bkupram_5faddr_19845',['BKUPRAM_ADDR',['../same54n19a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54p20a.h']]]
['bkupram_5faddr_19880',['BKUPRAM_ADDR',['../same54n19a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a12c9c4c955b1ab9ede6a60e14cfde540',1,'BKUPRAM_ADDR():&#160;same54p20a.h']]]
];

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

@ -1,279 +1,279 @@
var searchData=
[
['eic_21544',['EIC',['../same54n19a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54n19a.h'],['../same54n20a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54n20a.h'],['../same54p19a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54p19a.h'],['../same54p20a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54p20a.h']]],
['eic_5fasynch_5fasynch_5fpos_21545',['EIC_ASYNCH_ASYNCH_Pos',['../component_2eic_8h.html#a7f83768aa55abe8d2d64a4335d7129f0',1,'eic.h']]],
['eic_5fasynch_5fmask_21546',['EIC_ASYNCH_MASK',['../component_2eic_8h.html#ac0b909c2fc1df3e197bcddc996d39d78',1,'eic.h']]],
['eic_5fasynch_5foffset_21547',['EIC_ASYNCH_OFFSET',['../component_2eic_8h.html#a685977df4671b3e2e96cfd96f49d9c4f',1,'eic.h']]],
['eic_5fasynch_5fresetvalue_21548',['EIC_ASYNCH_RESETVALUE',['../component_2eic_8h.html#a9cff1f3057ba9b7fc6660128c1dff15d',1,'eic.h']]],
['eic_5fconfig_5ffilten0_5fpos_21549',['EIC_CONFIG_FILTEN0_Pos',['../component_2eic_8h.html#aa17527a9aab80477ff20ed73d2d7177a',1,'eic.h']]],
['eic_5fconfig_5ffilten1_5fpos_21550',['EIC_CONFIG_FILTEN1_Pos',['../component_2eic_8h.html#a930f7f68f458eb61711fcad9b7b0e33d',1,'eic.h']]],
['eic_5fconfig_5ffilten2_5fpos_21551',['EIC_CONFIG_FILTEN2_Pos',['../component_2eic_8h.html#a54e72c526cf4c31edf453b7f50618043',1,'eic.h']]],
['eic_5fconfig_5ffilten3_5fpos_21552',['EIC_CONFIG_FILTEN3_Pos',['../component_2eic_8h.html#af8ffa2628676573b96658108f610e95e',1,'eic.h']]],
['eic_5fconfig_5ffilten4_5fpos_21553',['EIC_CONFIG_FILTEN4_Pos',['../component_2eic_8h.html#a96cc4d08e7114ef41793a0323c1890fc',1,'eic.h']]],
['eic_5fconfig_5ffilten5_5fpos_21554',['EIC_CONFIG_FILTEN5_Pos',['../component_2eic_8h.html#ab3c6837a90614cf79a4d0feece41fdd6',1,'eic.h']]],
['eic_5fconfig_5ffilten6_5fpos_21555',['EIC_CONFIG_FILTEN6_Pos',['../component_2eic_8h.html#a1138acbe8b4dedc40a413a58ec7b2c57',1,'eic.h']]],
['eic_5fconfig_5ffilten7_5fpos_21556',['EIC_CONFIG_FILTEN7_Pos',['../component_2eic_8h.html#a77bd3664d9f1c1d6b212eac416d40341',1,'eic.h']]],
['eic_5fconfig_5fmask_21557',['EIC_CONFIG_MASK',['../component_2eic_8h.html#a713278aaffe59a230cb4f7642ee4900e',1,'eic.h']]],
['eic_5fconfig_5foffset_21558',['EIC_CONFIG_OFFSET',['../component_2eic_8h.html#a35ff22e6265c54180a3967466b95361a',1,'eic.h']]],
['eic_5fconfig_5fresetvalue_21559',['EIC_CONFIG_RESETVALUE',['../component_2eic_8h.html#afa5a8efe9b088c3ef448f32bf86d537d',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fboth_5fval_21560',['EIC_CONFIG_SENSE0_BOTH_Val',['../component_2eic_8h.html#ac0808674223b5a4fe5e7d1e24c1499a3',1,'eic.h']]],
['eic_5fconfig_5fsense0_5ffall_5fval_21561',['EIC_CONFIG_SENSE0_FALL_Val',['../component_2eic_8h.html#a7fb62a57d10876d7cc1f6e22992c469d',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fhigh_5fval_21562',['EIC_CONFIG_SENSE0_HIGH_Val',['../component_2eic_8h.html#add8658a97c85968dea3f8bdcceabca94',1,'eic.h']]],
['eic_5fconfig_5fsense0_5flow_5fval_21563',['EIC_CONFIG_SENSE0_LOW_Val',['../component_2eic_8h.html#ad578c3896d5b3cdc4631cba1a6f3f8e2',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fnone_5fval_21564',['EIC_CONFIG_SENSE0_NONE_Val',['../component_2eic_8h.html#a986d4e3fc22b167f6eb21a178ff4c45e',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fpos_21565',['EIC_CONFIG_SENSE0_Pos',['../component_2eic_8h.html#a9a191a13be42b2e0283c890d9302f461',1,'eic.h']]],
['eic_5fconfig_5fsense0_5frise_5fval_21566',['EIC_CONFIG_SENSE0_RISE_Val',['../component_2eic_8h.html#adf381990c5471ceec041a27a028a51be',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fboth_5fval_21567',['EIC_CONFIG_SENSE1_BOTH_Val',['../component_2eic_8h.html#abb0c4499b66df5612c61697749c38f6f',1,'eic.h']]],
['eic_5fconfig_5fsense1_5ffall_5fval_21568',['EIC_CONFIG_SENSE1_FALL_Val',['../component_2eic_8h.html#a0ca6a376817490cb3ef82906ffe21923',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fhigh_5fval_21569',['EIC_CONFIG_SENSE1_HIGH_Val',['../component_2eic_8h.html#ad9d6fe0929c266f663d7fb7b05296098',1,'eic.h']]],
['eic_5fconfig_5fsense1_5flow_5fval_21570',['EIC_CONFIG_SENSE1_LOW_Val',['../component_2eic_8h.html#aece415d353240e8ee175072f6a5224cd',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fnone_5fval_21571',['EIC_CONFIG_SENSE1_NONE_Val',['../component_2eic_8h.html#a2dd12fe5d69cac11eb3b807a1ba2972a',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fpos_21572',['EIC_CONFIG_SENSE1_Pos',['../component_2eic_8h.html#ab34794f88d0b20fa2792afe7fecd7fc1',1,'eic.h']]],
['eic_5fconfig_5fsense1_5frise_5fval_21573',['EIC_CONFIG_SENSE1_RISE_Val',['../component_2eic_8h.html#a390eb7bfde2edc0c8f7c2aecc55f2f11',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fboth_5fval_21574',['EIC_CONFIG_SENSE2_BOTH_Val',['../component_2eic_8h.html#a7809a27e221937b35d9e6b969a3771fb',1,'eic.h']]],
['eic_5fconfig_5fsense2_5ffall_5fval_21575',['EIC_CONFIG_SENSE2_FALL_Val',['../component_2eic_8h.html#a2f603343d04d42dfa3c238016be954b5',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fhigh_5fval_21576',['EIC_CONFIG_SENSE2_HIGH_Val',['../component_2eic_8h.html#a949642d01c96957d1e5f9279d3b48c2c',1,'eic.h']]],
['eic_5fconfig_5fsense2_5flow_5fval_21577',['EIC_CONFIG_SENSE2_LOW_Val',['../component_2eic_8h.html#a475f78069ac4ecbb6c2115497fa686f0',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fnone_5fval_21578',['EIC_CONFIG_SENSE2_NONE_Val',['../component_2eic_8h.html#a89231a2e48fe64b47ec85f545280b7df',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fpos_21579',['EIC_CONFIG_SENSE2_Pos',['../component_2eic_8h.html#af67e9a2938eae7c6f9f4d19c405e243f',1,'eic.h']]],
['eic_5fconfig_5fsense2_5frise_5fval_21580',['EIC_CONFIG_SENSE2_RISE_Val',['../component_2eic_8h.html#a4c6f24459946c4c716e15f887cf91732',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fboth_5fval_21581',['EIC_CONFIG_SENSE3_BOTH_Val',['../component_2eic_8h.html#aa8713c71e12ac27aed9182636e488bc6',1,'eic.h']]],
['eic_5fconfig_5fsense3_5ffall_5fval_21582',['EIC_CONFIG_SENSE3_FALL_Val',['../component_2eic_8h.html#af4c91968458631be4fa57f3c0c15404c',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fhigh_5fval_21583',['EIC_CONFIG_SENSE3_HIGH_Val',['../component_2eic_8h.html#ac73c1ef1256ac37c4a05f3f3437ca87d',1,'eic.h']]],
['eic_5fconfig_5fsense3_5flow_5fval_21584',['EIC_CONFIG_SENSE3_LOW_Val',['../component_2eic_8h.html#acd770ca16cc8b9bd76408b1ab7391ff5',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fnone_5fval_21585',['EIC_CONFIG_SENSE3_NONE_Val',['../component_2eic_8h.html#ae6cb4f8bb0afd3fd2c89dad8d552ec1f',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fpos_21586',['EIC_CONFIG_SENSE3_Pos',['../component_2eic_8h.html#a831aeaf54a89c732e999bddee6ad0cbf',1,'eic.h']]],
['eic_5fconfig_5fsense3_5frise_5fval_21587',['EIC_CONFIG_SENSE3_RISE_Val',['../component_2eic_8h.html#ae1f57c9ebb1706bb46bc77ef24f56a81',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fboth_5fval_21588',['EIC_CONFIG_SENSE4_BOTH_Val',['../component_2eic_8h.html#a90038bdcd72cf77acd74f46032eb292c',1,'eic.h']]],
['eic_5fconfig_5fsense4_5ffall_5fval_21589',['EIC_CONFIG_SENSE4_FALL_Val',['../component_2eic_8h.html#a4fe8ad8e7d22ba42d070d9108213d907',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fhigh_5fval_21590',['EIC_CONFIG_SENSE4_HIGH_Val',['../component_2eic_8h.html#af61da74ee963e779f81a0fa2f81e9c75',1,'eic.h']]],
['eic_5fconfig_5fsense4_5flow_5fval_21591',['EIC_CONFIG_SENSE4_LOW_Val',['../component_2eic_8h.html#a92370d05cd0d822893f57ecf0ff7a2a5',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fnone_5fval_21592',['EIC_CONFIG_SENSE4_NONE_Val',['../component_2eic_8h.html#a4317fae5e621e618c7e7bdf654a1b2b0',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fpos_21593',['EIC_CONFIG_SENSE4_Pos',['../component_2eic_8h.html#ad23c76b1fbf7d30fa3aa738a38c453c3',1,'eic.h']]],
['eic_5fconfig_5fsense4_5frise_5fval_21594',['EIC_CONFIG_SENSE4_RISE_Val',['../component_2eic_8h.html#aad52f78c0fc34ba713282c4f2cb52965',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fboth_5fval_21595',['EIC_CONFIG_SENSE5_BOTH_Val',['../component_2eic_8h.html#a020047d3418afa7b60b72bfc4872bfb0',1,'eic.h']]],
['eic_5fconfig_5fsense5_5ffall_5fval_21596',['EIC_CONFIG_SENSE5_FALL_Val',['../component_2eic_8h.html#a2f575e054321eef78315a123006b62dc',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fhigh_5fval_21597',['EIC_CONFIG_SENSE5_HIGH_Val',['../component_2eic_8h.html#afc521f838a152ea846c8ba29d2d7e578',1,'eic.h']]],
['eic_5fconfig_5fsense5_5flow_5fval_21598',['EIC_CONFIG_SENSE5_LOW_Val',['../component_2eic_8h.html#a4a2958b65315240e85c9bf06c9c92305',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fnone_5fval_21599',['EIC_CONFIG_SENSE5_NONE_Val',['../component_2eic_8h.html#a1f73b11cdad345f444d6267c016e7d06',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fpos_21600',['EIC_CONFIG_SENSE5_Pos',['../component_2eic_8h.html#ace7caae074bf08e88805b591c626fb7f',1,'eic.h']]],
['eic_5fconfig_5fsense5_5frise_5fval_21601',['EIC_CONFIG_SENSE5_RISE_Val',['../component_2eic_8h.html#aa79135e0c433132db7b3df88472fda74',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fboth_5fval_21602',['EIC_CONFIG_SENSE6_BOTH_Val',['../component_2eic_8h.html#a30183c55bdd242f2e73f8e9510c3208a',1,'eic.h']]],
['eic_5fconfig_5fsense6_5ffall_5fval_21603',['EIC_CONFIG_SENSE6_FALL_Val',['../component_2eic_8h.html#aa3b18a5b6647f583a1b276ad9b5aa4d6',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fhigh_5fval_21604',['EIC_CONFIG_SENSE6_HIGH_Val',['../component_2eic_8h.html#a308809e49e6d8ddd024e96f22f1cf72c',1,'eic.h']]],
['eic_5fconfig_5fsense6_5flow_5fval_21605',['EIC_CONFIG_SENSE6_LOW_Val',['../component_2eic_8h.html#a3df3d29ae144b0c1d5b95a4f898fb78d',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fnone_5fval_21606',['EIC_CONFIG_SENSE6_NONE_Val',['../component_2eic_8h.html#a9c966fa77b4176c92e747e52084cfbd8',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fpos_21607',['EIC_CONFIG_SENSE6_Pos',['../component_2eic_8h.html#a17d194da98be0777ff750650293d386d',1,'eic.h']]],
['eic_5fconfig_5fsense6_5frise_5fval_21608',['EIC_CONFIG_SENSE6_RISE_Val',['../component_2eic_8h.html#a6a5001e8379757251033150764c6dcba',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fboth_5fval_21609',['EIC_CONFIG_SENSE7_BOTH_Val',['../component_2eic_8h.html#a461a0f4bd20a83b7c935ba3fb4e640ac',1,'eic.h']]],
['eic_5fconfig_5fsense7_5ffall_5fval_21610',['EIC_CONFIG_SENSE7_FALL_Val',['../component_2eic_8h.html#a456906de38943b836b26294cd9735251',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fhigh_5fval_21611',['EIC_CONFIG_SENSE7_HIGH_Val',['../component_2eic_8h.html#a90352dd0ca9acbd823c87862d2046489',1,'eic.h']]],
['eic_5fconfig_5fsense7_5flow_5fval_21612',['EIC_CONFIG_SENSE7_LOW_Val',['../component_2eic_8h.html#a090c5aaf967e49c1634a02bae7799fa6',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fnone_5fval_21613',['EIC_CONFIG_SENSE7_NONE_Val',['../component_2eic_8h.html#ad238f5412dacf421dc698fbb1e6be2f2',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fpos_21614',['EIC_CONFIG_SENSE7_Pos',['../component_2eic_8h.html#a19583c715708b15f578f5cc2a8f394fc',1,'eic.h']]],
['eic_5fconfig_5fsense7_5frise_5fval_21615',['EIC_CONFIG_SENSE7_RISE_Val',['../component_2eic_8h.html#a84e2898643261f390c3f329d59357cb6',1,'eic.h']]],
['eic_5fctrla_5fcksel_5fpos_21616',['EIC_CTRLA_CKSEL_Pos',['../component_2eic_8h.html#a9c2e0f5f9272f9ca106bee3658bd67e6',1,'eic.h']]],
['eic_5fctrla_5fenable_5fpos_21617',['EIC_CTRLA_ENABLE_Pos',['../component_2eic_8h.html#aeacc7e5f83ca757ca0130db5895e170a',1,'eic.h']]],
['eic_5fctrla_5fmask_21618',['EIC_CTRLA_MASK',['../component_2eic_8h.html#a935b501f29ee3b3ae95674baccfcc161',1,'eic.h']]],
['eic_5fctrla_5foffset_21619',['EIC_CTRLA_OFFSET',['../component_2eic_8h.html#ab246344bc085e0c0c1f41f2c498d7a4e',1,'eic.h']]],
['eic_5fctrla_5fresetvalue_21620',['EIC_CTRLA_RESETVALUE',['../component_2eic_8h.html#a4fa956016182ca3fbb195313688e49b2',1,'eic.h']]],
['eic_5fctrla_5fswrst_5fpos_21621',['EIC_CTRLA_SWRST_Pos',['../component_2eic_8h.html#a1a82937bd8157d38e7acd41ccdbb6c2c',1,'eic.h']]],
['eic_5fdebouncen_5fdebouncen_5fpos_21622',['EIC_DEBOUNCEN_DEBOUNCEN_Pos',['../component_2eic_8h.html#abd8078c045daaa7bead796b6fea74427',1,'eic.h']]],
['eic_5fdebouncen_5fmask_21623',['EIC_DEBOUNCEN_MASK',['../component_2eic_8h.html#ac8f965edcafad4374b0e5f9ea2b1f1f9',1,'eic.h']]],
['eic_5fdebouncen_5foffset_21624',['EIC_DEBOUNCEN_OFFSET',['../component_2eic_8h.html#a0534b51e4c32b62d69c3d9d785f072e2',1,'eic.h']]],
['eic_5fdebouncen_5fresetvalue_21625',['EIC_DEBOUNCEN_RESETVALUE',['../component_2eic_8h.html#a528d7e520af4bbd921c4683ecc785046',1,'eic.h']]],
['eic_5fdprescaler_5fmask_21626',['EIC_DPRESCALER_MASK',['../component_2eic_8h.html#ade672ef0a3e758ba23012b95bef4be1f',1,'eic.h']]],
['eic_5fdprescaler_5foffset_21627',['EIC_DPRESCALER_OFFSET',['../component_2eic_8h.html#a93cab13d4fc39d87cc7c9693daf9ae7c',1,'eic.h']]],
['eic_5fdprescaler_5fprescaler0_5fpos_21628',['EIC_DPRESCALER_PRESCALER0_Pos',['../component_2eic_8h.html#a4e25d3c10d962c315c546f23222a5b2b',1,'eic.h']]],
['eic_5fdprescaler_5fprescaler1_5fpos_21629',['EIC_DPRESCALER_PRESCALER1_Pos',['../component_2eic_8h.html#ad8d50c45bde1e43e03f3a78cf4bc3f48',1,'eic.h']]],
['eic_5fdprescaler_5fresetvalue_21630',['EIC_DPRESCALER_RESETVALUE',['../component_2eic_8h.html#a78d782557c6c8956894abed2f7773993',1,'eic.h']]],
['eic_5fdprescaler_5fstates0_5fpos_21631',['EIC_DPRESCALER_STATES0_Pos',['../component_2eic_8h.html#afdc67c1fd8679f56c7d36f9bd9b1eb54',1,'eic.h']]],
['eic_5fdprescaler_5fstates1_5fpos_21632',['EIC_DPRESCALER_STATES1_Pos',['../component_2eic_8h.html#a98a5ad3962cb3fc146f1f593a22cf096',1,'eic.h']]],
['eic_5fdprescaler_5ftickon_5fpos_21633',['EIC_DPRESCALER_TICKON_Pos',['../component_2eic_8h.html#a0a3a405c2d276cfd603cef0419d2db7f',1,'eic.h']]],
['eic_5fevctrl_5fextinteo_5fpos_21634',['EIC_EVCTRL_EXTINTEO_Pos',['../component_2eic_8h.html#aed4eb850843b4dea239dd997ae81008a',1,'eic.h']]],
['eic_5fevctrl_5fmask_21635',['EIC_EVCTRL_MASK',['../component_2eic_8h.html#a613f01075fe9c2dd9dcc34c5dacfd6ed',1,'eic.h']]],
['eic_5fevctrl_5foffset_21636',['EIC_EVCTRL_OFFSET',['../component_2eic_8h.html#a1e9f53fbff309ef9b2ecfa6276b72f15',1,'eic.h']]],
['eic_5fevctrl_5fresetvalue_21637',['EIC_EVCTRL_RESETVALUE',['../component_2eic_8h.html#aa55f28b19d883994bcd6ade4425eea0a',1,'eic.h']]],
['eic_5finst_5fnum_21638',['EIC_INST_NUM',['../same54n19a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54p20a.h']]],
['eic_5finsts_21639',['EIC_INSTS',['../same54n19a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54p20a.h']]],
['eic_5fintenclr_5fextint_5fpos_21640',['EIC_INTENCLR_EXTINT_Pos',['../component_2eic_8h.html#a741348eb720fbcd5a997515060a08f79',1,'eic.h']]],
['eic_5fintenclr_5fmask_21641',['EIC_INTENCLR_MASK',['../component_2eic_8h.html#ac121def07c8b3d84e3d58470fa7e0a2a',1,'eic.h']]],
['eic_5fintenclr_5foffset_21642',['EIC_INTENCLR_OFFSET',['../component_2eic_8h.html#af64517ce260f6884f881223efcd4fe66',1,'eic.h']]],
['eic_5fintenclr_5fresetvalue_21643',['EIC_INTENCLR_RESETVALUE',['../component_2eic_8h.html#aa93fcdad643eb338a3f20268b85555f9',1,'eic.h']]],
['eic_5fintenset_5fextint_5fpos_21644',['EIC_INTENSET_EXTINT_Pos',['../component_2eic_8h.html#afd6be1eb2fc6554616eb65915a12c245',1,'eic.h']]],
['eic_5fintenset_5fmask_21645',['EIC_INTENSET_MASK',['../component_2eic_8h.html#a518b934f1f683eea112f810134e11386',1,'eic.h']]],
['eic_5fintenset_5foffset_21646',['EIC_INTENSET_OFFSET',['../component_2eic_8h.html#a7544cf9b337e414ddccd4d849d263bc9',1,'eic.h']]],
['eic_5fintenset_5fresetvalue_21647',['EIC_INTENSET_RESETVALUE',['../component_2eic_8h.html#ae72659b00ea4c8017352485df071fff8',1,'eic.h']]],
['eic_5fintflag_5fextint_5fpos_21648',['EIC_INTFLAG_EXTINT_Pos',['../component_2eic_8h.html#adcbc791cf87b0cbd60e76f1958249501',1,'eic.h']]],
['eic_5fintflag_5fmask_21649',['EIC_INTFLAG_MASK',['../component_2eic_8h.html#a81b4c53bcfec0717a26cde921d364062',1,'eic.h']]],
['eic_5fintflag_5foffset_21650',['EIC_INTFLAG_OFFSET',['../component_2eic_8h.html#a4e51877a81710951770d2bd40145d6b7',1,'eic.h']]],
['eic_5fintflag_5fresetvalue_21651',['EIC_INTFLAG_RESETVALUE',['../component_2eic_8h.html#a4672c235b09428fde9c98d6d844c09d9',1,'eic.h']]],
['eic_5fnmictrl_5fmask_21652',['EIC_NMICTRL_MASK',['../component_2eic_8h.html#af52070ecba91c5d7d6ece825763d5ac3',1,'eic.h']]],
['eic_5fnmictrl_5fnmiasynch_5fpos_21653',['EIC_NMICTRL_NMIASYNCH_Pos',['../component_2eic_8h.html#ac9a80e6a5d8750e13950439aa992765e',1,'eic.h']]],
['eic_5fnmictrl_5fnmifilten_5fpos_21654',['EIC_NMICTRL_NMIFILTEN_Pos',['../component_2eic_8h.html#a38d9267b17841862f6a70ce455f52da0',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fboth_5fval_21655',['EIC_NMICTRL_NMISENSE_BOTH_Val',['../component_2eic_8h.html#a7c987cbee9b60bfd4e68ddd11982a512',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5ffall_5fval_21656',['EIC_NMICTRL_NMISENSE_FALL_Val',['../component_2eic_8h.html#aa0a05bae1746f2942044c926350f1ebe',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fhigh_5fval_21657',['EIC_NMICTRL_NMISENSE_HIGH_Val',['../component_2eic_8h.html#a30c9d119b917f1bec88af90d0c7fa180',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5flow_5fval_21658',['EIC_NMICTRL_NMISENSE_LOW_Val',['../component_2eic_8h.html#aa3b9af8d6d835716ec282a17a72f7052',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fnone_5fval_21659',['EIC_NMICTRL_NMISENSE_NONE_Val',['../component_2eic_8h.html#a0c36b33d81bd5571bc49345e2e83b9ff',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fpos_21660',['EIC_NMICTRL_NMISENSE_Pos',['../component_2eic_8h.html#a48859c121f51b57ddf343ba53ff169a1',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5frise_5fval_21661',['EIC_NMICTRL_NMISENSE_RISE_Val',['../component_2eic_8h.html#a40a369ae631516099e85c14096312797',1,'eic.h']]],
['eic_5fnmictrl_5foffset_21662',['EIC_NMICTRL_OFFSET',['../component_2eic_8h.html#a955df6174347427aaaed840874fa273f',1,'eic.h']]],
['eic_5fnmictrl_5fresetvalue_21663',['EIC_NMICTRL_RESETVALUE',['../component_2eic_8h.html#a45890ac5e43ba08bf235b5ba44aa434c',1,'eic.h']]],
['eic_5fnmiflag_5fmask_21664',['EIC_NMIFLAG_MASK',['../component_2eic_8h.html#a540d29cea93e30799215ac9a446bb8f9',1,'eic.h']]],
['eic_5fnmiflag_5fnmi_5fpos_21665',['EIC_NMIFLAG_NMI_Pos',['../component_2eic_8h.html#ae75faeffe2957a80cbdacf5c0239e2af',1,'eic.h']]],
['eic_5fnmiflag_5foffset_21666',['EIC_NMIFLAG_OFFSET',['../component_2eic_8h.html#aaf1e851a2bf7b57210d255b797305b5a',1,'eic.h']]],
['eic_5fnmiflag_5fresetvalue_21667',['EIC_NMIFLAG_RESETVALUE',['../component_2eic_8h.html#abdc3ee824c8c2631f56077b9eb4af6c0',1,'eic.h']]],
['eic_5fpinstate_5fmask_21668',['EIC_PINSTATE_MASK',['../component_2eic_8h.html#a525e8d843a9592ef2002a75c763ad8c6',1,'eic.h']]],
['eic_5fpinstate_5foffset_21669',['EIC_PINSTATE_OFFSET',['../component_2eic_8h.html#a069013213156669a0c63b6bc8143ab7c',1,'eic.h']]],
['eic_5fpinstate_5fpinstate_5fpos_21670',['EIC_PINSTATE_PINSTATE_Pos',['../component_2eic_8h.html#a087ea6b5736c6045fd15a5764eb7a58d',1,'eic.h']]],
['eic_5fpinstate_5fresetvalue_21671',['EIC_PINSTATE_RESETVALUE',['../component_2eic_8h.html#ab57945864c780a58265e446cbe9a7acb',1,'eic.h']]],
['eic_5fsyncbusy_5fenable_5fpos_21672',['EIC_SYNCBUSY_ENABLE_Pos',['../component_2eic_8h.html#a81e1ca9fe8b4a63049445f836a13f0c7',1,'eic.h']]],
['eic_5fsyncbusy_5fmask_21673',['EIC_SYNCBUSY_MASK',['../component_2eic_8h.html#a568a8dccaced2d98cf62355f017f193d',1,'eic.h']]],
['eic_5fsyncbusy_5foffset_21674',['EIC_SYNCBUSY_OFFSET',['../component_2eic_8h.html#a6afc82df170c16a0de7830c246f58283',1,'eic.h']]],
['eic_5fsyncbusy_5fresetvalue_21675',['EIC_SYNCBUSY_RESETVALUE',['../component_2eic_8h.html#ae3efd05adc4143a8591f22ae441c5cc4',1,'eic.h']]],
['eic_5fsyncbusy_5fswrst_5fpos_21676',['EIC_SYNCBUSY_SWRST_Pos',['../component_2eic_8h.html#aac50cf18f144db471cdcd45ba2c5604d',1,'eic.h']]],
['evsys_21677',['EVSYS',['../same54n19a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54n19a.h'],['../same54n20a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54n20a.h'],['../same54p19a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54p19a.h'],['../same54p20a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54p20a.h']]],
['evsys_5fbusych_5fbusych0_5fpos_21678',['EVSYS_BUSYCH_BUSYCH0_Pos',['../component_2evsys_8h.html#aea7a7c237dd60f7ab9636fa22ac7ae67',1,'evsys.h']]],
['evsys_5fbusych_5fbusych10_5fpos_21679',['EVSYS_BUSYCH_BUSYCH10_Pos',['../component_2evsys_8h.html#af0cb4cb2733209e071332c720a3bd00f',1,'evsys.h']]],
['evsys_5fbusych_5fbusych11_5fpos_21680',['EVSYS_BUSYCH_BUSYCH11_Pos',['../component_2evsys_8h.html#ad24bcebbaa85d1b2badda55fc4ef30c9',1,'evsys.h']]],
['evsys_5fbusych_5fbusych1_5fpos_21681',['EVSYS_BUSYCH_BUSYCH1_Pos',['../component_2evsys_8h.html#aa583382023d2f433ad5fa7215733968e',1,'evsys.h']]],
['evsys_5fbusych_5fbusych2_5fpos_21682',['EVSYS_BUSYCH_BUSYCH2_Pos',['../component_2evsys_8h.html#a2bd90ef918e1777a8a5152a155859823',1,'evsys.h']]],
['evsys_5fbusych_5fbusych3_5fpos_21683',['EVSYS_BUSYCH_BUSYCH3_Pos',['../component_2evsys_8h.html#a9e239e4344b1f329e023d35a35a3c947',1,'evsys.h']]],
['evsys_5fbusych_5fbusych4_5fpos_21684',['EVSYS_BUSYCH_BUSYCH4_Pos',['../component_2evsys_8h.html#a2263a8ef1fa84dd6dcf6b2b8642a8624',1,'evsys.h']]],
['evsys_5fbusych_5fbusych5_5fpos_21685',['EVSYS_BUSYCH_BUSYCH5_Pos',['../component_2evsys_8h.html#a17ce95bc9a42d9424018266b735ac970',1,'evsys.h']]],
['evsys_5fbusych_5fbusych6_5fpos_21686',['EVSYS_BUSYCH_BUSYCH6_Pos',['../component_2evsys_8h.html#af44ddfc414d6ae8bb042bc3a34f59cb7',1,'evsys.h']]],
['evsys_5fbusych_5fbusych7_5fpos_21687',['EVSYS_BUSYCH_BUSYCH7_Pos',['../component_2evsys_8h.html#aa879af03ee70a21a534dcd9ef7d16df8',1,'evsys.h']]],
['evsys_5fbusych_5fbusych8_5fpos_21688',['EVSYS_BUSYCH_BUSYCH8_Pos',['../component_2evsys_8h.html#a00f958ac44d756d6b9ce9c87a850b943',1,'evsys.h']]],
['evsys_5fbusych_5fbusych9_5fpos_21689',['EVSYS_BUSYCH_BUSYCH9_Pos',['../component_2evsys_8h.html#ababf222bab683309ee349da6383f2ea8',1,'evsys.h']]],
['evsys_5fbusych_5fbusych_5fpos_21690',['EVSYS_BUSYCH_BUSYCH_Pos',['../component_2evsys_8h.html#a61683678a21d67121554b4a91668388d',1,'evsys.h']]],
['evsys_5fbusych_5fmask_21691',['EVSYS_BUSYCH_MASK',['../component_2evsys_8h.html#a28cb2495bf2577963af2e91f494e0a9c',1,'evsys.h']]],
['evsys_5fbusych_5foffset_21692',['EVSYS_BUSYCH_OFFSET',['../component_2evsys_8h.html#a40bd649f5ae971447938d631f895a6e5',1,'evsys.h']]],
['evsys_5fbusych_5fresetvalue_21693',['EVSYS_BUSYCH_RESETVALUE',['../component_2evsys_8h.html#aa30e3348e7fff4d109c80a727b0905c5',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fboth_5fedges_5fval_21694',['EVSYS_CHANNEL_EDGSEL_BOTH_EDGES_Val',['../component_2evsys_8h.html#a30ceae6c03e9e5fb6302f5f4d234599d',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5ffalling_5fedge_5fval_21695',['EVSYS_CHANNEL_EDGSEL_FALLING_EDGE_Val',['../component_2evsys_8h.html#aea62b90832a5f319570b4fd0cdb884d5',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fno_5fevt_5foutput_5fval_21696',['EVSYS_CHANNEL_EDGSEL_NO_EVT_OUTPUT_Val',['../component_2evsys_8h.html#a80b0403aa00907e545ba1ce27a55b03a',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fpos_21697',['EVSYS_CHANNEL_EDGSEL_Pos',['../component_2evsys_8h.html#a940ccedfddf915a4532da9b752cab3a0',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5frising_5fedge_5fval_21698',['EVSYS_CHANNEL_EDGSEL_RISING_EDGE_Val',['../component_2evsys_8h.html#a74f200244816d34ebcda294dfa39d163',1,'evsys.h']]],
['evsys_5fchannel_5fevgen_5fpos_21699',['EVSYS_CHANNEL_EVGEN_Pos',['../component_2evsys_8h.html#a49da646ddac2acf9b067c8299b5f6335',1,'evsys.h']]],
['evsys_5fchannel_5fmask_21700',['EVSYS_CHANNEL_MASK',['../component_2evsys_8h.html#ab9faaae565f0d68b4a213473176588bf',1,'evsys.h']]],
['evsys_5fchannel_5foffset_21701',['EVSYS_CHANNEL_OFFSET',['../component_2evsys_8h.html#a1c32a9bc329d630176a5c3ee341041d9',1,'evsys.h']]],
['evsys_5fchannel_5fondemand_5fpos_21702',['EVSYS_CHANNEL_ONDEMAND_Pos',['../component_2evsys_8h.html#a401e9fcb176a21073cd02359cb8ed305',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fasynchronous_5fval_21703',['EVSYS_CHANNEL_PATH_ASYNCHRONOUS_Val',['../component_2evsys_8h.html#ae66529525c793ce0b4621730bd6d5944',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fpos_21704',['EVSYS_CHANNEL_PATH_Pos',['../component_2evsys_8h.html#a1c99b6755155dc2b405c63587973570b',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fresynchronized_5fval_21705',['EVSYS_CHANNEL_PATH_RESYNCHRONIZED_Val',['../component_2evsys_8h.html#aeb385f0d13f51660c582fb7e8d5a753a',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fsynchronous_5fval_21706',['EVSYS_CHANNEL_PATH_SYNCHRONOUS_Val',['../component_2evsys_8h.html#af113bdb42f1664532afb160e78b39fdb',1,'evsys.h']]],
['evsys_5fchannel_5fresetvalue_21707',['EVSYS_CHANNEL_RESETVALUE',['../component_2evsys_8h.html#aae954ef91a3c4d06efe030fec2c37dda',1,'evsys.h']]],
['evsys_5fchannel_5frunstdby_5fpos_21708',['EVSYS_CHANNEL_RUNSTDBY_Pos',['../component_2evsys_8h.html#a60fc44a6feb69694fa578820a9c13a77',1,'evsys.h']]],
['evsys_5fchintenclr_5fevd_5fpos_21709',['EVSYS_CHINTENCLR_EVD_Pos',['../component_2evsys_8h.html#ae4d48774baf4809b4b4ae5b57b4faff4',1,'evsys.h']]],
['evsys_5fchintenclr_5fmask_21710',['EVSYS_CHINTENCLR_MASK',['../component_2evsys_8h.html#a66455819f3a00e116d6b9b82a2faff0c',1,'evsys.h']]],
['evsys_5fchintenclr_5foffset_21711',['EVSYS_CHINTENCLR_OFFSET',['../component_2evsys_8h.html#a99d09965060b174b77163c83f36f27e5',1,'evsys.h']]],
['evsys_5fchintenclr_5fovr_5fpos_21712',['EVSYS_CHINTENCLR_OVR_Pos',['../component_2evsys_8h.html#a61e649ee61ec30612e6f2669b05382c7',1,'evsys.h']]],
['evsys_5fchintenclr_5fresetvalue_21713',['EVSYS_CHINTENCLR_RESETVALUE',['../component_2evsys_8h.html#a621cc4f8c5fe15085dc395c6bd65da94',1,'evsys.h']]],
['evsys_5fchintenset_5fevd_5fpos_21714',['EVSYS_CHINTENSET_EVD_Pos',['../component_2evsys_8h.html#a3a65ddd9311e1b095da29b3983296ad6',1,'evsys.h']]],
['evsys_5fchintenset_5fmask_21715',['EVSYS_CHINTENSET_MASK',['../component_2evsys_8h.html#a4bb33641b488807f5ea0ebb43020a30b',1,'evsys.h']]],
['evsys_5fchintenset_5foffset_21716',['EVSYS_CHINTENSET_OFFSET',['../component_2evsys_8h.html#afe0ed22b5298a7241f2a45d9be32830e',1,'evsys.h']]],
['evsys_5fchintenset_5fovr_5fpos_21717',['EVSYS_CHINTENSET_OVR_Pos',['../component_2evsys_8h.html#a67923f48d90f27e95eb814387f10d246',1,'evsys.h']]],
['evsys_5fchintenset_5fresetvalue_21718',['EVSYS_CHINTENSET_RESETVALUE',['../component_2evsys_8h.html#a8ad8b6bab60c189b30f22ac418ac8e8f',1,'evsys.h']]],
['evsys_5fchintflag_5fevd_5fpos_21719',['EVSYS_CHINTFLAG_EVD_Pos',['../component_2evsys_8h.html#ae64fd914c57449cc7aa468ba8edf9f25',1,'evsys.h']]],
['evsys_5fchintflag_5fmask_21720',['EVSYS_CHINTFLAG_MASK',['../component_2evsys_8h.html#ae5b03e2da9c291336acafb1a4fa9d235',1,'evsys.h']]],
['evsys_5fchintflag_5foffset_21721',['EVSYS_CHINTFLAG_OFFSET',['../component_2evsys_8h.html#a6161ef0982ec328c5a5052de0698a657',1,'evsys.h']]],
['evsys_5fchintflag_5fovr_5fpos_21722',['EVSYS_CHINTFLAG_OVR_Pos',['../component_2evsys_8h.html#a41cdce62325dd483d8e1db074f623261',1,'evsys.h']]],
['evsys_5fchintflag_5fresetvalue_21723',['EVSYS_CHINTFLAG_RESETVALUE',['../component_2evsys_8h.html#a1c2ef2c79d3adc8d3ad3ff52a17ece91',1,'evsys.h']]],
['evsys_5fchstatus_5fbusych_5fpos_21724',['EVSYS_CHSTATUS_BUSYCH_Pos',['../component_2evsys_8h.html#a362f571edb31b5cb8e2fccc24385ba81',1,'evsys.h']]],
['evsys_5fchstatus_5fmask_21725',['EVSYS_CHSTATUS_MASK',['../component_2evsys_8h.html#a969f2d9810912d2f07fe47602660dd7f',1,'evsys.h']]],
['evsys_5fchstatus_5foffset_21726',['EVSYS_CHSTATUS_OFFSET',['../component_2evsys_8h.html#a1feb1c1fba23446f2caa0e152ce7eb6c',1,'evsys.h']]],
['evsys_5fchstatus_5frdyusr_5fpos_21727',['EVSYS_CHSTATUS_RDYUSR_Pos',['../component_2evsys_8h.html#a3e437be2124dfcc6cce85beb30315515',1,'evsys.h']]],
['evsys_5fchstatus_5fresetvalue_21728',['EVSYS_CHSTATUS_RESETVALUE',['../component_2evsys_8h.html#a3d2d7543ed2981a7d35fbee301599189',1,'evsys.h']]],
['evsys_5fctrla_5fmask_21729',['EVSYS_CTRLA_MASK',['../component_2evsys_8h.html#ae851c5e39c0d41b3abee6745e40ec2db',1,'evsys.h']]],
['evsys_5fctrla_5foffset_21730',['EVSYS_CTRLA_OFFSET',['../component_2evsys_8h.html#a9c19dd4f0e5049313e765d9b91338912',1,'evsys.h']]],
['evsys_5fctrla_5fresetvalue_21731',['EVSYS_CTRLA_RESETVALUE',['../component_2evsys_8h.html#a62b57b85c568e42ba4488825a6297985',1,'evsys.h']]],
['evsys_5fctrla_5fswrst_5fpos_21732',['EVSYS_CTRLA_SWRST_Pos',['../component_2evsys_8h.html#a4d17eb23599371688a7499a86755f6fd',1,'evsys.h']]],
['evsys_5finst_5fnum_21733',['EVSYS_INST_NUM',['../same54n19a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54p20a.h']]],
['evsys_5finsts_21734',['EVSYS_INSTS',['../same54n19a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54p20a.h']]],
['evsys_5fintpend_5fbusy_5fpos_21735',['EVSYS_INTPEND_BUSY_Pos',['../component_2evsys_8h.html#a16ecf00a467bf2cdae4a704e0e203190',1,'evsys.h']]],
['evsys_5fintpend_5fevd_5fpos_21736',['EVSYS_INTPEND_EVD_Pos',['../component_2evsys_8h.html#a1b2b1e781a35406341bc89903afdf9dc',1,'evsys.h']]],
['evsys_5fintpend_5fid_5fpos_21737',['EVSYS_INTPEND_ID_Pos',['../component_2evsys_8h.html#af9cdd37532b09107d584f41124fa3ad4',1,'evsys.h']]],
['evsys_5fintpend_5fmask_21738',['EVSYS_INTPEND_MASK',['../component_2evsys_8h.html#aa4da0e6e9fe9d2848c3886d3a4151c29',1,'evsys.h']]],
['evsys_5fintpend_5foffset_21739',['EVSYS_INTPEND_OFFSET',['../component_2evsys_8h.html#a4113632d96e631e9a8930e453be93dfa',1,'evsys.h']]],
['evsys_5fintpend_5fovr_5fpos_21740',['EVSYS_INTPEND_OVR_Pos',['../component_2evsys_8h.html#a8c1104a142c6a25674be8f2d45dcae65',1,'evsys.h']]],
['evsys_5fintpend_5fready_5fpos_21741',['EVSYS_INTPEND_READY_Pos',['../component_2evsys_8h.html#a98226e8785369fd70b510afdf72cbae1',1,'evsys.h']]],
['evsys_5fintpend_5fresetvalue_21742',['EVSYS_INTPEND_RESETVALUE',['../component_2evsys_8h.html#a3cda3bfe716b30be1a80585bc51e6130',1,'evsys.h']]],
['evsys_5fintstatus_5fchint0_5fpos_21743',['EVSYS_INTSTATUS_CHINT0_Pos',['../component_2evsys_8h.html#a97062c5d5ab65176401343401b29b24a',1,'evsys.h']]],
['evsys_5fintstatus_5fchint10_5fpos_21744',['EVSYS_INTSTATUS_CHINT10_Pos',['../component_2evsys_8h.html#ad0ce19dee65616fd8ed4e960feb0abcd',1,'evsys.h']]],
['evsys_5fintstatus_5fchint11_5fpos_21745',['EVSYS_INTSTATUS_CHINT11_Pos',['../component_2evsys_8h.html#ad8c12352bc05b7fa429633bb41187834',1,'evsys.h']]],
['evsys_5fintstatus_5fchint1_5fpos_21746',['EVSYS_INTSTATUS_CHINT1_Pos',['../component_2evsys_8h.html#ad4059d207e23e631337f526b70361899',1,'evsys.h']]],
['evsys_5fintstatus_5fchint2_5fpos_21747',['EVSYS_INTSTATUS_CHINT2_Pos',['../component_2evsys_8h.html#a0524377938c821b77964b2abce1079ec',1,'evsys.h']]],
['evsys_5fintstatus_5fchint3_5fpos_21748',['EVSYS_INTSTATUS_CHINT3_Pos',['../component_2evsys_8h.html#a6551037c5d3cbb294b797cd46cab4e5b',1,'evsys.h']]],
['evsys_5fintstatus_5fchint4_5fpos_21749',['EVSYS_INTSTATUS_CHINT4_Pos',['../component_2evsys_8h.html#a93f624f10633a14d6e6ce2342b1e6ede',1,'evsys.h']]],
['evsys_5fintstatus_5fchint5_5fpos_21750',['EVSYS_INTSTATUS_CHINT5_Pos',['../component_2evsys_8h.html#ad6242e40f057626ce0bd2a8511d9b327',1,'evsys.h']]],
['evsys_5fintstatus_5fchint6_5fpos_21751',['EVSYS_INTSTATUS_CHINT6_Pos',['../component_2evsys_8h.html#a04fa2d3a77eebb0d8a5070c2ec963fec',1,'evsys.h']]],
['evsys_5fintstatus_5fchint7_5fpos_21752',['EVSYS_INTSTATUS_CHINT7_Pos',['../component_2evsys_8h.html#adfd7ca8c1241b8ba45bd20923218ff5a',1,'evsys.h']]],
['evsys_5fintstatus_5fchint8_5fpos_21753',['EVSYS_INTSTATUS_CHINT8_Pos',['../component_2evsys_8h.html#abfefcbbaff8fdd2882a3e0f02e8db9e9',1,'evsys.h']]],
['evsys_5fintstatus_5fchint9_5fpos_21754',['EVSYS_INTSTATUS_CHINT9_Pos',['../component_2evsys_8h.html#ab2dc2470f575a323b9946c75998deba4',1,'evsys.h']]],
['evsys_5fintstatus_5fchint_5fpos_21755',['EVSYS_INTSTATUS_CHINT_Pos',['../component_2evsys_8h.html#adc9042266d45a3b79992034d0c05ae0e',1,'evsys.h']]],
['evsys_5fintstatus_5fmask_21756',['EVSYS_INTSTATUS_MASK',['../component_2evsys_8h.html#a7575ca1fa09c931a05762f10a8127cef',1,'evsys.h']]],
['evsys_5fintstatus_5foffset_21757',['EVSYS_INTSTATUS_OFFSET',['../component_2evsys_8h.html#a887c293978f2612cc4c43696d9555ab7',1,'evsys.h']]],
['evsys_5fintstatus_5fresetvalue_21758',['EVSYS_INTSTATUS_RESETVALUE',['../component_2evsys_8h.html#a7348ea31c54f3312ff0dd8b5e3d6658a',1,'evsys.h']]],
['evsys_5fprictrl_5fmask_21759',['EVSYS_PRICTRL_MASK',['../component_2evsys_8h.html#a1f301484062107c876cdbd6fef764796',1,'evsys.h']]],
['evsys_5fprictrl_5foffset_21760',['EVSYS_PRICTRL_OFFSET',['../component_2evsys_8h.html#a22541e6a8b5a70137cefc0929ac2b1f1',1,'evsys.h']]],
['evsys_5fprictrl_5fpri_5fpos_21761',['EVSYS_PRICTRL_PRI_Pos',['../component_2evsys_8h.html#a6d7d2d447189cd149c146f253c021e4c',1,'evsys.h']]],
['evsys_5fprictrl_5fresetvalue_21762',['EVSYS_PRICTRL_RESETVALUE',['../component_2evsys_8h.html#a5771468afcdaa8ef28dbe36f7c15da7f',1,'evsys.h']]],
['evsys_5fprictrl_5frren_5fpos_21763',['EVSYS_PRICTRL_RREN_Pos',['../component_2evsys_8h.html#ae47f5ef7da6e116b7b8bd65179160ee3',1,'evsys.h']]],
['evsys_5freadyusr_5fmask_21764',['EVSYS_READYUSR_MASK',['../component_2evsys_8h.html#a763be5cee260e2ce7c14cf0e03110c2f',1,'evsys.h']]],
['evsys_5freadyusr_5foffset_21765',['EVSYS_READYUSR_OFFSET',['../component_2evsys_8h.html#ad5c816ad5a5fe7304367bd6a68c62ca8',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr0_5fpos_21766',['EVSYS_READYUSR_READYUSR0_Pos',['../component_2evsys_8h.html#a66d6e0339c306de22765611c46d1aaba',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr10_5fpos_21767',['EVSYS_READYUSR_READYUSR10_Pos',['../component_2evsys_8h.html#a0b04fc9d287be7ee56309fff5a7e5426',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr11_5fpos_21768',['EVSYS_READYUSR_READYUSR11_Pos',['../component_2evsys_8h.html#aafab78921ac5f3c9d55f04597ab54e7c',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr1_5fpos_21769',['EVSYS_READYUSR_READYUSR1_Pos',['../component_2evsys_8h.html#aa51cb3896b6fa5216ff61078aaf07c85',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr2_5fpos_21770',['EVSYS_READYUSR_READYUSR2_Pos',['../component_2evsys_8h.html#ab44f83aead2c93ff21d3ec5f2b2acd2a',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr3_5fpos_21771',['EVSYS_READYUSR_READYUSR3_Pos',['../component_2evsys_8h.html#a8ab422175017b88560a3604dc1b7924b',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr4_5fpos_21772',['EVSYS_READYUSR_READYUSR4_Pos',['../component_2evsys_8h.html#af7e929036426ba0dc7ba39d64ff4cb9d',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr5_5fpos_21773',['EVSYS_READYUSR_READYUSR5_Pos',['../component_2evsys_8h.html#a02998b416b85512425e6e65384c0672a',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr6_5fpos_21774',['EVSYS_READYUSR_READYUSR6_Pos',['../component_2evsys_8h.html#a0ee4b1199eda7995e01047e6f3dc4b2f',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr7_5fpos_21775',['EVSYS_READYUSR_READYUSR7_Pos',['../component_2evsys_8h.html#a30d180998696358eff3b09e58f081014',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr8_5fpos_21776',['EVSYS_READYUSR_READYUSR8_Pos',['../component_2evsys_8h.html#a92644c1234fe78f1c2137e0b96ef7db3',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr9_5fpos_21777',['EVSYS_READYUSR_READYUSR9_Pos',['../component_2evsys_8h.html#a0639b34b9b188b910443c517bbcbc735',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr_5fpos_21778',['EVSYS_READYUSR_READYUSR_Pos',['../component_2evsys_8h.html#a368c68ce29813958f461ceaee83ddf51',1,'evsys.h']]],
['evsys_5freadyusr_5fresetvalue_21779',['EVSYS_READYUSR_RESETVALUE',['../component_2evsys_8h.html#a9f4f8a9e758eb78dc2fb69e67a951da5',1,'evsys.h']]],
['evsys_5fswevt_5fchannel0_5fpos_21780',['EVSYS_SWEVT_CHANNEL0_Pos',['../component_2evsys_8h.html#af6d9abc112b697d25dcfde810b1ec6c7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel10_5fpos_21781',['EVSYS_SWEVT_CHANNEL10_Pos',['../component_2evsys_8h.html#a1eaa9ec8266a8d6c0ad351c8459dc993',1,'evsys.h']]],
['evsys_5fswevt_5fchannel11_5fpos_21782',['EVSYS_SWEVT_CHANNEL11_Pos',['../component_2evsys_8h.html#a8054acc441c110d0108ec677b4d1dd66',1,'evsys.h']]],
['evsys_5fswevt_5fchannel12_5fpos_21783',['EVSYS_SWEVT_CHANNEL12_Pos',['../component_2evsys_8h.html#a4b4e4f2f1e12126e0709b7d1ca56bccf',1,'evsys.h']]],
['evsys_5fswevt_5fchannel13_5fpos_21784',['EVSYS_SWEVT_CHANNEL13_Pos',['../component_2evsys_8h.html#a61ecc8da3e27780be7fef1ab63cc7188',1,'evsys.h']]],
['evsys_5fswevt_5fchannel14_5fpos_21785',['EVSYS_SWEVT_CHANNEL14_Pos',['../component_2evsys_8h.html#a4e9ddf508e791aa783117ac137bc8006',1,'evsys.h']]],
['evsys_5fswevt_5fchannel15_5fpos_21786',['EVSYS_SWEVT_CHANNEL15_Pos',['../component_2evsys_8h.html#a5ad60bff0df62d2eaba9d2ccad9bbd5c',1,'evsys.h']]],
['evsys_5fswevt_5fchannel16_5fpos_21787',['EVSYS_SWEVT_CHANNEL16_Pos',['../component_2evsys_8h.html#ad29877d8a0999164981cc44ecde02e18',1,'evsys.h']]],
['evsys_5fswevt_5fchannel17_5fpos_21788',['EVSYS_SWEVT_CHANNEL17_Pos',['../component_2evsys_8h.html#a9e7a22a0c11556dfff983f84ab0b4ba8',1,'evsys.h']]],
['evsys_5fswevt_5fchannel18_5fpos_21789',['EVSYS_SWEVT_CHANNEL18_Pos',['../component_2evsys_8h.html#af64fa01f8b275782b1ffebe2870ae6e2',1,'evsys.h']]],
['evsys_5fswevt_5fchannel19_5fpos_21790',['EVSYS_SWEVT_CHANNEL19_Pos',['../component_2evsys_8h.html#a868656cbfe23b510ddb72013c9061eae',1,'evsys.h']]],
['evsys_5fswevt_5fchannel1_5fpos_21791',['EVSYS_SWEVT_CHANNEL1_Pos',['../component_2evsys_8h.html#a99eae07b98fdc3b6ce69f85c66bd6c19',1,'evsys.h']]],
['evsys_5fswevt_5fchannel20_5fpos_21792',['EVSYS_SWEVT_CHANNEL20_Pos',['../component_2evsys_8h.html#aedf20e8f758890a1de81706308797eb7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel21_5fpos_21793',['EVSYS_SWEVT_CHANNEL21_Pos',['../component_2evsys_8h.html#a5a5f81e40e2ccbd7ef320edc3aae2ee4',1,'evsys.h']]],
['evsys_5fswevt_5fchannel22_5fpos_21794',['EVSYS_SWEVT_CHANNEL22_Pos',['../component_2evsys_8h.html#a5fcf1d4cbab96f507881fd15752455da',1,'evsys.h']]],
['evsys_5fswevt_5fchannel23_5fpos_21795',['EVSYS_SWEVT_CHANNEL23_Pos',['../component_2evsys_8h.html#a6899060504074799b83d6c4af7013545',1,'evsys.h']]],
['evsys_5fswevt_5fchannel24_5fpos_21796',['EVSYS_SWEVT_CHANNEL24_Pos',['../component_2evsys_8h.html#adea640a65a999b4803a5206c5d70c1b6',1,'evsys.h']]],
['evsys_5fswevt_5fchannel25_5fpos_21797',['EVSYS_SWEVT_CHANNEL25_Pos',['../component_2evsys_8h.html#a2bd2e0cebae20042450a23f9208e5ad8',1,'evsys.h']]],
['evsys_5fswevt_5fchannel26_5fpos_21798',['EVSYS_SWEVT_CHANNEL26_Pos',['../component_2evsys_8h.html#a78327add9d851964004c8cbfa73c1e9d',1,'evsys.h']]],
['evsys_5fswevt_5fchannel27_5fpos_21799',['EVSYS_SWEVT_CHANNEL27_Pos',['../component_2evsys_8h.html#a80b56524a05b9258ac87dea440d2d3fb',1,'evsys.h']]],
['evsys_5fswevt_5fchannel28_5fpos_21800',['EVSYS_SWEVT_CHANNEL28_Pos',['../component_2evsys_8h.html#a4f89d81f9f939909a4112fb9dcd24703',1,'evsys.h']]],
['evsys_5fswevt_5fchannel29_5fpos_21801',['EVSYS_SWEVT_CHANNEL29_Pos',['../component_2evsys_8h.html#a8b91dadba77a192d56fee9d9cbb0c86d',1,'evsys.h']]],
['evsys_5fswevt_5fchannel2_5fpos_21802',['EVSYS_SWEVT_CHANNEL2_Pos',['../component_2evsys_8h.html#a324b1a957add8ed5df1080df90e43c19',1,'evsys.h']]],
['evsys_5fswevt_5fchannel30_5fpos_21803',['EVSYS_SWEVT_CHANNEL30_Pos',['../component_2evsys_8h.html#a407e67075afc274b88bb93704720605f',1,'evsys.h']]],
['evsys_5fswevt_5fchannel31_5fpos_21804',['EVSYS_SWEVT_CHANNEL31_Pos',['../component_2evsys_8h.html#a55090b8d02a0fb9b78d7dabe327fc837',1,'evsys.h']]],
['evsys_5fswevt_5fchannel3_5fpos_21805',['EVSYS_SWEVT_CHANNEL3_Pos',['../component_2evsys_8h.html#a8e3359be2580cdae8c60963148ec2a81',1,'evsys.h']]],
['evsys_5fswevt_5fchannel4_5fpos_21806',['EVSYS_SWEVT_CHANNEL4_Pos',['../component_2evsys_8h.html#ae5c6f56b2cf90a57d0e30566c81cb95e',1,'evsys.h']]],
['evsys_5fswevt_5fchannel5_5fpos_21807',['EVSYS_SWEVT_CHANNEL5_Pos',['../component_2evsys_8h.html#af4c9fa0dccae3d5e0ebb2e5e2dd83b64',1,'evsys.h']]],
['evsys_5fswevt_5fchannel6_5fpos_21808',['EVSYS_SWEVT_CHANNEL6_Pos',['../component_2evsys_8h.html#a923930945122e66f2e3a5734f2a1a4b7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel7_5fpos_21809',['EVSYS_SWEVT_CHANNEL7_Pos',['../component_2evsys_8h.html#a4618f702d0e3ee1f6b10198b9acbf9af',1,'evsys.h']]],
['evsys_5fswevt_5fchannel8_5fpos_21810',['EVSYS_SWEVT_CHANNEL8_Pos',['../component_2evsys_8h.html#a42bdadb5359ee8f5d6d534ad7f0bc431',1,'evsys.h']]],
['evsys_5fswevt_5fchannel9_5fpos_21811',['EVSYS_SWEVT_CHANNEL9_Pos',['../component_2evsys_8h.html#a83e99e73d2237f443c327cfece7d6de3',1,'evsys.h']]],
['evsys_5fswevt_5fchannel_5fpos_21812',['EVSYS_SWEVT_CHANNEL_Pos',['../component_2evsys_8h.html#ade0f8631c02c7cd2c5ea987d87f97a53',1,'evsys.h']]],
['evsys_5fswevt_5fmask_21813',['EVSYS_SWEVT_MASK',['../component_2evsys_8h.html#a6d1354f5762fb3cbc2a99f5ca0cb29bf',1,'evsys.h']]],
['evsys_5fswevt_5foffset_21814',['EVSYS_SWEVT_OFFSET',['../component_2evsys_8h.html#a167034f50f9b8d02b01907de78ac5af3',1,'evsys.h']]],
['evsys_5fswevt_5fresetvalue_21815',['EVSYS_SWEVT_RESETVALUE',['../component_2evsys_8h.html#a80608cde26d5a1d22be06c196acfaaa2',1,'evsys.h']]],
['evsys_5fuser_5fchannel_5fpos_21816',['EVSYS_USER_CHANNEL_Pos',['../component_2evsys_8h.html#a4ce28148cd49044148eed72085814831',1,'evsys.h']]],
['evsys_5fuser_5fmask_21817',['EVSYS_USER_MASK',['../component_2evsys_8h.html#ae051bcee71e9b6fca121b9c03ff961d8',1,'evsys.h']]],
['evsys_5fuser_5foffset_21818',['EVSYS_USER_OFFSET',['../component_2evsys_8h.html#a43110f222057e1543486fc474450131c',1,'evsys.h']]],
['evsys_5fuser_5fresetvalue_21819',['EVSYS_USER_RESETVALUE',['../component_2evsys_8h.html#af4ba09be942a94f1cb7a9ed23ac108ab',1,'evsys.h']]]
['eic_21614',['EIC',['../same54n19a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54n19a.h'],['../same54n20a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54n20a.h'],['../same54p19a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54p19a.h'],['../same54p20a_8h.html#a27183a94584b5fca7e1207ce7a79782a',1,'EIC():&#160;same54p20a.h']]],
['eic_5fasynch_5fasynch_5fpos_21615',['EIC_ASYNCH_ASYNCH_Pos',['../component_2eic_8h.html#a7f83768aa55abe8d2d64a4335d7129f0',1,'eic.h']]],
['eic_5fasynch_5fmask_21616',['EIC_ASYNCH_MASK',['../component_2eic_8h.html#ac0b909c2fc1df3e197bcddc996d39d78',1,'eic.h']]],
['eic_5fasynch_5foffset_21617',['EIC_ASYNCH_OFFSET',['../component_2eic_8h.html#a685977df4671b3e2e96cfd96f49d9c4f',1,'eic.h']]],
['eic_5fasynch_5fresetvalue_21618',['EIC_ASYNCH_RESETVALUE',['../component_2eic_8h.html#a9cff1f3057ba9b7fc6660128c1dff15d',1,'eic.h']]],
['eic_5fconfig_5ffilten0_5fpos_21619',['EIC_CONFIG_FILTEN0_Pos',['../component_2eic_8h.html#aa17527a9aab80477ff20ed73d2d7177a',1,'eic.h']]],
['eic_5fconfig_5ffilten1_5fpos_21620',['EIC_CONFIG_FILTEN1_Pos',['../component_2eic_8h.html#a930f7f68f458eb61711fcad9b7b0e33d',1,'eic.h']]],
['eic_5fconfig_5ffilten2_5fpos_21621',['EIC_CONFIG_FILTEN2_Pos',['../component_2eic_8h.html#a54e72c526cf4c31edf453b7f50618043',1,'eic.h']]],
['eic_5fconfig_5ffilten3_5fpos_21622',['EIC_CONFIG_FILTEN3_Pos',['../component_2eic_8h.html#af8ffa2628676573b96658108f610e95e',1,'eic.h']]],
['eic_5fconfig_5ffilten4_5fpos_21623',['EIC_CONFIG_FILTEN4_Pos',['../component_2eic_8h.html#a96cc4d08e7114ef41793a0323c1890fc',1,'eic.h']]],
['eic_5fconfig_5ffilten5_5fpos_21624',['EIC_CONFIG_FILTEN5_Pos',['../component_2eic_8h.html#ab3c6837a90614cf79a4d0feece41fdd6',1,'eic.h']]],
['eic_5fconfig_5ffilten6_5fpos_21625',['EIC_CONFIG_FILTEN6_Pos',['../component_2eic_8h.html#a1138acbe8b4dedc40a413a58ec7b2c57',1,'eic.h']]],
['eic_5fconfig_5ffilten7_5fpos_21626',['EIC_CONFIG_FILTEN7_Pos',['../component_2eic_8h.html#a77bd3664d9f1c1d6b212eac416d40341',1,'eic.h']]],
['eic_5fconfig_5fmask_21627',['EIC_CONFIG_MASK',['../component_2eic_8h.html#a713278aaffe59a230cb4f7642ee4900e',1,'eic.h']]],
['eic_5fconfig_5foffset_21628',['EIC_CONFIG_OFFSET',['../component_2eic_8h.html#a35ff22e6265c54180a3967466b95361a',1,'eic.h']]],
['eic_5fconfig_5fresetvalue_21629',['EIC_CONFIG_RESETVALUE',['../component_2eic_8h.html#afa5a8efe9b088c3ef448f32bf86d537d',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fboth_5fval_21630',['EIC_CONFIG_SENSE0_BOTH_Val',['../component_2eic_8h.html#ac0808674223b5a4fe5e7d1e24c1499a3',1,'eic.h']]],
['eic_5fconfig_5fsense0_5ffall_5fval_21631',['EIC_CONFIG_SENSE0_FALL_Val',['../component_2eic_8h.html#a7fb62a57d10876d7cc1f6e22992c469d',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fhigh_5fval_21632',['EIC_CONFIG_SENSE0_HIGH_Val',['../component_2eic_8h.html#add8658a97c85968dea3f8bdcceabca94',1,'eic.h']]],
['eic_5fconfig_5fsense0_5flow_5fval_21633',['EIC_CONFIG_SENSE0_LOW_Val',['../component_2eic_8h.html#ad578c3896d5b3cdc4631cba1a6f3f8e2',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fnone_5fval_21634',['EIC_CONFIG_SENSE0_NONE_Val',['../component_2eic_8h.html#a986d4e3fc22b167f6eb21a178ff4c45e',1,'eic.h']]],
['eic_5fconfig_5fsense0_5fpos_21635',['EIC_CONFIG_SENSE0_Pos',['../component_2eic_8h.html#a9a191a13be42b2e0283c890d9302f461',1,'eic.h']]],
['eic_5fconfig_5fsense0_5frise_5fval_21636',['EIC_CONFIG_SENSE0_RISE_Val',['../component_2eic_8h.html#adf381990c5471ceec041a27a028a51be',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fboth_5fval_21637',['EIC_CONFIG_SENSE1_BOTH_Val',['../component_2eic_8h.html#abb0c4499b66df5612c61697749c38f6f',1,'eic.h']]],
['eic_5fconfig_5fsense1_5ffall_5fval_21638',['EIC_CONFIG_SENSE1_FALL_Val',['../component_2eic_8h.html#a0ca6a376817490cb3ef82906ffe21923',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fhigh_5fval_21639',['EIC_CONFIG_SENSE1_HIGH_Val',['../component_2eic_8h.html#ad9d6fe0929c266f663d7fb7b05296098',1,'eic.h']]],
['eic_5fconfig_5fsense1_5flow_5fval_21640',['EIC_CONFIG_SENSE1_LOW_Val',['../component_2eic_8h.html#aece415d353240e8ee175072f6a5224cd',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fnone_5fval_21641',['EIC_CONFIG_SENSE1_NONE_Val',['../component_2eic_8h.html#a2dd12fe5d69cac11eb3b807a1ba2972a',1,'eic.h']]],
['eic_5fconfig_5fsense1_5fpos_21642',['EIC_CONFIG_SENSE1_Pos',['../component_2eic_8h.html#ab34794f88d0b20fa2792afe7fecd7fc1',1,'eic.h']]],
['eic_5fconfig_5fsense1_5frise_5fval_21643',['EIC_CONFIG_SENSE1_RISE_Val',['../component_2eic_8h.html#a390eb7bfde2edc0c8f7c2aecc55f2f11',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fboth_5fval_21644',['EIC_CONFIG_SENSE2_BOTH_Val',['../component_2eic_8h.html#a7809a27e221937b35d9e6b969a3771fb',1,'eic.h']]],
['eic_5fconfig_5fsense2_5ffall_5fval_21645',['EIC_CONFIG_SENSE2_FALL_Val',['../component_2eic_8h.html#a2f603343d04d42dfa3c238016be954b5',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fhigh_5fval_21646',['EIC_CONFIG_SENSE2_HIGH_Val',['../component_2eic_8h.html#a949642d01c96957d1e5f9279d3b48c2c',1,'eic.h']]],
['eic_5fconfig_5fsense2_5flow_5fval_21647',['EIC_CONFIG_SENSE2_LOW_Val',['../component_2eic_8h.html#a475f78069ac4ecbb6c2115497fa686f0',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fnone_5fval_21648',['EIC_CONFIG_SENSE2_NONE_Val',['../component_2eic_8h.html#a89231a2e48fe64b47ec85f545280b7df',1,'eic.h']]],
['eic_5fconfig_5fsense2_5fpos_21649',['EIC_CONFIG_SENSE2_Pos',['../component_2eic_8h.html#af67e9a2938eae7c6f9f4d19c405e243f',1,'eic.h']]],
['eic_5fconfig_5fsense2_5frise_5fval_21650',['EIC_CONFIG_SENSE2_RISE_Val',['../component_2eic_8h.html#a4c6f24459946c4c716e15f887cf91732',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fboth_5fval_21651',['EIC_CONFIG_SENSE3_BOTH_Val',['../component_2eic_8h.html#aa8713c71e12ac27aed9182636e488bc6',1,'eic.h']]],
['eic_5fconfig_5fsense3_5ffall_5fval_21652',['EIC_CONFIG_SENSE3_FALL_Val',['../component_2eic_8h.html#af4c91968458631be4fa57f3c0c15404c',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fhigh_5fval_21653',['EIC_CONFIG_SENSE3_HIGH_Val',['../component_2eic_8h.html#ac73c1ef1256ac37c4a05f3f3437ca87d',1,'eic.h']]],
['eic_5fconfig_5fsense3_5flow_5fval_21654',['EIC_CONFIG_SENSE3_LOW_Val',['../component_2eic_8h.html#acd770ca16cc8b9bd76408b1ab7391ff5',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fnone_5fval_21655',['EIC_CONFIG_SENSE3_NONE_Val',['../component_2eic_8h.html#ae6cb4f8bb0afd3fd2c89dad8d552ec1f',1,'eic.h']]],
['eic_5fconfig_5fsense3_5fpos_21656',['EIC_CONFIG_SENSE3_Pos',['../component_2eic_8h.html#a831aeaf54a89c732e999bddee6ad0cbf',1,'eic.h']]],
['eic_5fconfig_5fsense3_5frise_5fval_21657',['EIC_CONFIG_SENSE3_RISE_Val',['../component_2eic_8h.html#ae1f57c9ebb1706bb46bc77ef24f56a81',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fboth_5fval_21658',['EIC_CONFIG_SENSE4_BOTH_Val',['../component_2eic_8h.html#a90038bdcd72cf77acd74f46032eb292c',1,'eic.h']]],
['eic_5fconfig_5fsense4_5ffall_5fval_21659',['EIC_CONFIG_SENSE4_FALL_Val',['../component_2eic_8h.html#a4fe8ad8e7d22ba42d070d9108213d907',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fhigh_5fval_21660',['EIC_CONFIG_SENSE4_HIGH_Val',['../component_2eic_8h.html#af61da74ee963e779f81a0fa2f81e9c75',1,'eic.h']]],
['eic_5fconfig_5fsense4_5flow_5fval_21661',['EIC_CONFIG_SENSE4_LOW_Val',['../component_2eic_8h.html#a92370d05cd0d822893f57ecf0ff7a2a5',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fnone_5fval_21662',['EIC_CONFIG_SENSE4_NONE_Val',['../component_2eic_8h.html#a4317fae5e621e618c7e7bdf654a1b2b0',1,'eic.h']]],
['eic_5fconfig_5fsense4_5fpos_21663',['EIC_CONFIG_SENSE4_Pos',['../component_2eic_8h.html#ad23c76b1fbf7d30fa3aa738a38c453c3',1,'eic.h']]],
['eic_5fconfig_5fsense4_5frise_5fval_21664',['EIC_CONFIG_SENSE4_RISE_Val',['../component_2eic_8h.html#aad52f78c0fc34ba713282c4f2cb52965',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fboth_5fval_21665',['EIC_CONFIG_SENSE5_BOTH_Val',['../component_2eic_8h.html#a020047d3418afa7b60b72bfc4872bfb0',1,'eic.h']]],
['eic_5fconfig_5fsense5_5ffall_5fval_21666',['EIC_CONFIG_SENSE5_FALL_Val',['../component_2eic_8h.html#a2f575e054321eef78315a123006b62dc',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fhigh_5fval_21667',['EIC_CONFIG_SENSE5_HIGH_Val',['../component_2eic_8h.html#afc521f838a152ea846c8ba29d2d7e578',1,'eic.h']]],
['eic_5fconfig_5fsense5_5flow_5fval_21668',['EIC_CONFIG_SENSE5_LOW_Val',['../component_2eic_8h.html#a4a2958b65315240e85c9bf06c9c92305',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fnone_5fval_21669',['EIC_CONFIG_SENSE5_NONE_Val',['../component_2eic_8h.html#a1f73b11cdad345f444d6267c016e7d06',1,'eic.h']]],
['eic_5fconfig_5fsense5_5fpos_21670',['EIC_CONFIG_SENSE5_Pos',['../component_2eic_8h.html#ace7caae074bf08e88805b591c626fb7f',1,'eic.h']]],
['eic_5fconfig_5fsense5_5frise_5fval_21671',['EIC_CONFIG_SENSE5_RISE_Val',['../component_2eic_8h.html#aa79135e0c433132db7b3df88472fda74',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fboth_5fval_21672',['EIC_CONFIG_SENSE6_BOTH_Val',['../component_2eic_8h.html#a30183c55bdd242f2e73f8e9510c3208a',1,'eic.h']]],
['eic_5fconfig_5fsense6_5ffall_5fval_21673',['EIC_CONFIG_SENSE6_FALL_Val',['../component_2eic_8h.html#aa3b18a5b6647f583a1b276ad9b5aa4d6',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fhigh_5fval_21674',['EIC_CONFIG_SENSE6_HIGH_Val',['../component_2eic_8h.html#a308809e49e6d8ddd024e96f22f1cf72c',1,'eic.h']]],
['eic_5fconfig_5fsense6_5flow_5fval_21675',['EIC_CONFIG_SENSE6_LOW_Val',['../component_2eic_8h.html#a3df3d29ae144b0c1d5b95a4f898fb78d',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fnone_5fval_21676',['EIC_CONFIG_SENSE6_NONE_Val',['../component_2eic_8h.html#a9c966fa77b4176c92e747e52084cfbd8',1,'eic.h']]],
['eic_5fconfig_5fsense6_5fpos_21677',['EIC_CONFIG_SENSE6_Pos',['../component_2eic_8h.html#a17d194da98be0777ff750650293d386d',1,'eic.h']]],
['eic_5fconfig_5fsense6_5frise_5fval_21678',['EIC_CONFIG_SENSE6_RISE_Val',['../component_2eic_8h.html#a6a5001e8379757251033150764c6dcba',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fboth_5fval_21679',['EIC_CONFIG_SENSE7_BOTH_Val',['../component_2eic_8h.html#a461a0f4bd20a83b7c935ba3fb4e640ac',1,'eic.h']]],
['eic_5fconfig_5fsense7_5ffall_5fval_21680',['EIC_CONFIG_SENSE7_FALL_Val',['../component_2eic_8h.html#a456906de38943b836b26294cd9735251',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fhigh_5fval_21681',['EIC_CONFIG_SENSE7_HIGH_Val',['../component_2eic_8h.html#a90352dd0ca9acbd823c87862d2046489',1,'eic.h']]],
['eic_5fconfig_5fsense7_5flow_5fval_21682',['EIC_CONFIG_SENSE7_LOW_Val',['../component_2eic_8h.html#a090c5aaf967e49c1634a02bae7799fa6',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fnone_5fval_21683',['EIC_CONFIG_SENSE7_NONE_Val',['../component_2eic_8h.html#ad238f5412dacf421dc698fbb1e6be2f2',1,'eic.h']]],
['eic_5fconfig_5fsense7_5fpos_21684',['EIC_CONFIG_SENSE7_Pos',['../component_2eic_8h.html#a19583c715708b15f578f5cc2a8f394fc',1,'eic.h']]],
['eic_5fconfig_5fsense7_5frise_5fval_21685',['EIC_CONFIG_SENSE7_RISE_Val',['../component_2eic_8h.html#a84e2898643261f390c3f329d59357cb6',1,'eic.h']]],
['eic_5fctrla_5fcksel_5fpos_21686',['EIC_CTRLA_CKSEL_Pos',['../component_2eic_8h.html#a9c2e0f5f9272f9ca106bee3658bd67e6',1,'eic.h']]],
['eic_5fctrla_5fenable_5fpos_21687',['EIC_CTRLA_ENABLE_Pos',['../component_2eic_8h.html#aeacc7e5f83ca757ca0130db5895e170a',1,'eic.h']]],
['eic_5fctrla_5fmask_21688',['EIC_CTRLA_MASK',['../component_2eic_8h.html#a935b501f29ee3b3ae95674baccfcc161',1,'eic.h']]],
['eic_5fctrla_5foffset_21689',['EIC_CTRLA_OFFSET',['../component_2eic_8h.html#ab246344bc085e0c0c1f41f2c498d7a4e',1,'eic.h']]],
['eic_5fctrla_5fresetvalue_21690',['EIC_CTRLA_RESETVALUE',['../component_2eic_8h.html#a4fa956016182ca3fbb195313688e49b2',1,'eic.h']]],
['eic_5fctrla_5fswrst_5fpos_21691',['EIC_CTRLA_SWRST_Pos',['../component_2eic_8h.html#a1a82937bd8157d38e7acd41ccdbb6c2c',1,'eic.h']]],
['eic_5fdebouncen_5fdebouncen_5fpos_21692',['EIC_DEBOUNCEN_DEBOUNCEN_Pos',['../component_2eic_8h.html#abd8078c045daaa7bead796b6fea74427',1,'eic.h']]],
['eic_5fdebouncen_5fmask_21693',['EIC_DEBOUNCEN_MASK',['../component_2eic_8h.html#ac8f965edcafad4374b0e5f9ea2b1f1f9',1,'eic.h']]],
['eic_5fdebouncen_5foffset_21694',['EIC_DEBOUNCEN_OFFSET',['../component_2eic_8h.html#a0534b51e4c32b62d69c3d9d785f072e2',1,'eic.h']]],
['eic_5fdebouncen_5fresetvalue_21695',['EIC_DEBOUNCEN_RESETVALUE',['../component_2eic_8h.html#a528d7e520af4bbd921c4683ecc785046',1,'eic.h']]],
['eic_5fdprescaler_5fmask_21696',['EIC_DPRESCALER_MASK',['../component_2eic_8h.html#ade672ef0a3e758ba23012b95bef4be1f',1,'eic.h']]],
['eic_5fdprescaler_5foffset_21697',['EIC_DPRESCALER_OFFSET',['../component_2eic_8h.html#a93cab13d4fc39d87cc7c9693daf9ae7c',1,'eic.h']]],
['eic_5fdprescaler_5fprescaler0_5fpos_21698',['EIC_DPRESCALER_PRESCALER0_Pos',['../component_2eic_8h.html#a4e25d3c10d962c315c546f23222a5b2b',1,'eic.h']]],
['eic_5fdprescaler_5fprescaler1_5fpos_21699',['EIC_DPRESCALER_PRESCALER1_Pos',['../component_2eic_8h.html#ad8d50c45bde1e43e03f3a78cf4bc3f48',1,'eic.h']]],
['eic_5fdprescaler_5fresetvalue_21700',['EIC_DPRESCALER_RESETVALUE',['../component_2eic_8h.html#a78d782557c6c8956894abed2f7773993',1,'eic.h']]],
['eic_5fdprescaler_5fstates0_5fpos_21701',['EIC_DPRESCALER_STATES0_Pos',['../component_2eic_8h.html#afdc67c1fd8679f56c7d36f9bd9b1eb54',1,'eic.h']]],
['eic_5fdprescaler_5fstates1_5fpos_21702',['EIC_DPRESCALER_STATES1_Pos',['../component_2eic_8h.html#a98a5ad3962cb3fc146f1f593a22cf096',1,'eic.h']]],
['eic_5fdprescaler_5ftickon_5fpos_21703',['EIC_DPRESCALER_TICKON_Pos',['../component_2eic_8h.html#a0a3a405c2d276cfd603cef0419d2db7f',1,'eic.h']]],
['eic_5fevctrl_5fextinteo_5fpos_21704',['EIC_EVCTRL_EXTINTEO_Pos',['../component_2eic_8h.html#aed4eb850843b4dea239dd997ae81008a',1,'eic.h']]],
['eic_5fevctrl_5fmask_21705',['EIC_EVCTRL_MASK',['../component_2eic_8h.html#a613f01075fe9c2dd9dcc34c5dacfd6ed',1,'eic.h']]],
['eic_5fevctrl_5foffset_21706',['EIC_EVCTRL_OFFSET',['../component_2eic_8h.html#a1e9f53fbff309ef9b2ecfa6276b72f15',1,'eic.h']]],
['eic_5fevctrl_5fresetvalue_21707',['EIC_EVCTRL_RESETVALUE',['../component_2eic_8h.html#aa55f28b19d883994bcd6ade4425eea0a',1,'eic.h']]],
['eic_5finst_5fnum_21708',['EIC_INST_NUM',['../same54n19a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a60399071a15698b02e60c024082fcaee',1,'EIC_INST_NUM():&#160;same54p20a.h']]],
['eic_5finsts_21709',['EIC_INSTS',['../same54n19a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a6cde9c1621d07e94617a55185fd37aa8',1,'EIC_INSTS():&#160;same54p20a.h']]],
['eic_5fintenclr_5fextint_5fpos_21710',['EIC_INTENCLR_EXTINT_Pos',['../component_2eic_8h.html#a741348eb720fbcd5a997515060a08f79',1,'eic.h']]],
['eic_5fintenclr_5fmask_21711',['EIC_INTENCLR_MASK',['../component_2eic_8h.html#ac121def07c8b3d84e3d58470fa7e0a2a',1,'eic.h']]],
['eic_5fintenclr_5foffset_21712',['EIC_INTENCLR_OFFSET',['../component_2eic_8h.html#af64517ce260f6884f881223efcd4fe66',1,'eic.h']]],
['eic_5fintenclr_5fresetvalue_21713',['EIC_INTENCLR_RESETVALUE',['../component_2eic_8h.html#aa93fcdad643eb338a3f20268b85555f9',1,'eic.h']]],
['eic_5fintenset_5fextint_5fpos_21714',['EIC_INTENSET_EXTINT_Pos',['../component_2eic_8h.html#afd6be1eb2fc6554616eb65915a12c245',1,'eic.h']]],
['eic_5fintenset_5fmask_21715',['EIC_INTENSET_MASK',['../component_2eic_8h.html#a518b934f1f683eea112f810134e11386',1,'eic.h']]],
['eic_5fintenset_5foffset_21716',['EIC_INTENSET_OFFSET',['../component_2eic_8h.html#a7544cf9b337e414ddccd4d849d263bc9',1,'eic.h']]],
['eic_5fintenset_5fresetvalue_21717',['EIC_INTENSET_RESETVALUE',['../component_2eic_8h.html#ae72659b00ea4c8017352485df071fff8',1,'eic.h']]],
['eic_5fintflag_5fextint_5fpos_21718',['EIC_INTFLAG_EXTINT_Pos',['../component_2eic_8h.html#adcbc791cf87b0cbd60e76f1958249501',1,'eic.h']]],
['eic_5fintflag_5fmask_21719',['EIC_INTFLAG_MASK',['../component_2eic_8h.html#a81b4c53bcfec0717a26cde921d364062',1,'eic.h']]],
['eic_5fintflag_5foffset_21720',['EIC_INTFLAG_OFFSET',['../component_2eic_8h.html#a4e51877a81710951770d2bd40145d6b7',1,'eic.h']]],
['eic_5fintflag_5fresetvalue_21721',['EIC_INTFLAG_RESETVALUE',['../component_2eic_8h.html#a4672c235b09428fde9c98d6d844c09d9',1,'eic.h']]],
['eic_5fnmictrl_5fmask_21722',['EIC_NMICTRL_MASK',['../component_2eic_8h.html#af52070ecba91c5d7d6ece825763d5ac3',1,'eic.h']]],
['eic_5fnmictrl_5fnmiasynch_5fpos_21723',['EIC_NMICTRL_NMIASYNCH_Pos',['../component_2eic_8h.html#ac9a80e6a5d8750e13950439aa992765e',1,'eic.h']]],
['eic_5fnmictrl_5fnmifilten_5fpos_21724',['EIC_NMICTRL_NMIFILTEN_Pos',['../component_2eic_8h.html#a38d9267b17841862f6a70ce455f52da0',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fboth_5fval_21725',['EIC_NMICTRL_NMISENSE_BOTH_Val',['../component_2eic_8h.html#a7c987cbee9b60bfd4e68ddd11982a512',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5ffall_5fval_21726',['EIC_NMICTRL_NMISENSE_FALL_Val',['../component_2eic_8h.html#aa0a05bae1746f2942044c926350f1ebe',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fhigh_5fval_21727',['EIC_NMICTRL_NMISENSE_HIGH_Val',['../component_2eic_8h.html#a30c9d119b917f1bec88af90d0c7fa180',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5flow_5fval_21728',['EIC_NMICTRL_NMISENSE_LOW_Val',['../component_2eic_8h.html#aa3b9af8d6d835716ec282a17a72f7052',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fnone_5fval_21729',['EIC_NMICTRL_NMISENSE_NONE_Val',['../component_2eic_8h.html#a0c36b33d81bd5571bc49345e2e83b9ff',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5fpos_21730',['EIC_NMICTRL_NMISENSE_Pos',['../component_2eic_8h.html#a48859c121f51b57ddf343ba53ff169a1',1,'eic.h']]],
['eic_5fnmictrl_5fnmisense_5frise_5fval_21731',['EIC_NMICTRL_NMISENSE_RISE_Val',['../component_2eic_8h.html#a40a369ae631516099e85c14096312797',1,'eic.h']]],
['eic_5fnmictrl_5foffset_21732',['EIC_NMICTRL_OFFSET',['../component_2eic_8h.html#a955df6174347427aaaed840874fa273f',1,'eic.h']]],
['eic_5fnmictrl_5fresetvalue_21733',['EIC_NMICTRL_RESETVALUE',['../component_2eic_8h.html#a45890ac5e43ba08bf235b5ba44aa434c',1,'eic.h']]],
['eic_5fnmiflag_5fmask_21734',['EIC_NMIFLAG_MASK',['../component_2eic_8h.html#a540d29cea93e30799215ac9a446bb8f9',1,'eic.h']]],
['eic_5fnmiflag_5fnmi_5fpos_21735',['EIC_NMIFLAG_NMI_Pos',['../component_2eic_8h.html#ae75faeffe2957a80cbdacf5c0239e2af',1,'eic.h']]],
['eic_5fnmiflag_5foffset_21736',['EIC_NMIFLAG_OFFSET',['../component_2eic_8h.html#aaf1e851a2bf7b57210d255b797305b5a',1,'eic.h']]],
['eic_5fnmiflag_5fresetvalue_21737',['EIC_NMIFLAG_RESETVALUE',['../component_2eic_8h.html#abdc3ee824c8c2631f56077b9eb4af6c0',1,'eic.h']]],
['eic_5fpinstate_5fmask_21738',['EIC_PINSTATE_MASK',['../component_2eic_8h.html#a525e8d843a9592ef2002a75c763ad8c6',1,'eic.h']]],
['eic_5fpinstate_5foffset_21739',['EIC_PINSTATE_OFFSET',['../component_2eic_8h.html#a069013213156669a0c63b6bc8143ab7c',1,'eic.h']]],
['eic_5fpinstate_5fpinstate_5fpos_21740',['EIC_PINSTATE_PINSTATE_Pos',['../component_2eic_8h.html#a087ea6b5736c6045fd15a5764eb7a58d',1,'eic.h']]],
['eic_5fpinstate_5fresetvalue_21741',['EIC_PINSTATE_RESETVALUE',['../component_2eic_8h.html#ab57945864c780a58265e446cbe9a7acb',1,'eic.h']]],
['eic_5fsyncbusy_5fenable_5fpos_21742',['EIC_SYNCBUSY_ENABLE_Pos',['../component_2eic_8h.html#a81e1ca9fe8b4a63049445f836a13f0c7',1,'eic.h']]],
['eic_5fsyncbusy_5fmask_21743',['EIC_SYNCBUSY_MASK',['../component_2eic_8h.html#a568a8dccaced2d98cf62355f017f193d',1,'eic.h']]],
['eic_5fsyncbusy_5foffset_21744',['EIC_SYNCBUSY_OFFSET',['../component_2eic_8h.html#a6afc82df170c16a0de7830c246f58283',1,'eic.h']]],
['eic_5fsyncbusy_5fresetvalue_21745',['EIC_SYNCBUSY_RESETVALUE',['../component_2eic_8h.html#ae3efd05adc4143a8591f22ae441c5cc4',1,'eic.h']]],
['eic_5fsyncbusy_5fswrst_5fpos_21746',['EIC_SYNCBUSY_SWRST_Pos',['../component_2eic_8h.html#aac50cf18f144db471cdcd45ba2c5604d',1,'eic.h']]],
['evsys_21747',['EVSYS',['../same54n19a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54n19a.h'],['../same54n20a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54n20a.h'],['../same54p19a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54p19a.h'],['../same54p20a_8h.html#a9e745464ad55101201203e77e762b8d1',1,'EVSYS():&#160;same54p20a.h']]],
['evsys_5fbusych_5fbusych0_5fpos_21748',['EVSYS_BUSYCH_BUSYCH0_Pos',['../component_2evsys_8h.html#aea7a7c237dd60f7ab9636fa22ac7ae67',1,'evsys.h']]],
['evsys_5fbusych_5fbusych10_5fpos_21749',['EVSYS_BUSYCH_BUSYCH10_Pos',['../component_2evsys_8h.html#af0cb4cb2733209e071332c720a3bd00f',1,'evsys.h']]],
['evsys_5fbusych_5fbusych11_5fpos_21750',['EVSYS_BUSYCH_BUSYCH11_Pos',['../component_2evsys_8h.html#ad24bcebbaa85d1b2badda55fc4ef30c9',1,'evsys.h']]],
['evsys_5fbusych_5fbusych1_5fpos_21751',['EVSYS_BUSYCH_BUSYCH1_Pos',['../component_2evsys_8h.html#aa583382023d2f433ad5fa7215733968e',1,'evsys.h']]],
['evsys_5fbusych_5fbusych2_5fpos_21752',['EVSYS_BUSYCH_BUSYCH2_Pos',['../component_2evsys_8h.html#a2bd90ef918e1777a8a5152a155859823',1,'evsys.h']]],
['evsys_5fbusych_5fbusych3_5fpos_21753',['EVSYS_BUSYCH_BUSYCH3_Pos',['../component_2evsys_8h.html#a9e239e4344b1f329e023d35a35a3c947',1,'evsys.h']]],
['evsys_5fbusych_5fbusych4_5fpos_21754',['EVSYS_BUSYCH_BUSYCH4_Pos',['../component_2evsys_8h.html#a2263a8ef1fa84dd6dcf6b2b8642a8624',1,'evsys.h']]],
['evsys_5fbusych_5fbusych5_5fpos_21755',['EVSYS_BUSYCH_BUSYCH5_Pos',['../component_2evsys_8h.html#a17ce95bc9a42d9424018266b735ac970',1,'evsys.h']]],
['evsys_5fbusych_5fbusych6_5fpos_21756',['EVSYS_BUSYCH_BUSYCH6_Pos',['../component_2evsys_8h.html#af44ddfc414d6ae8bb042bc3a34f59cb7',1,'evsys.h']]],
['evsys_5fbusych_5fbusych7_5fpos_21757',['EVSYS_BUSYCH_BUSYCH7_Pos',['../component_2evsys_8h.html#aa879af03ee70a21a534dcd9ef7d16df8',1,'evsys.h']]],
['evsys_5fbusych_5fbusych8_5fpos_21758',['EVSYS_BUSYCH_BUSYCH8_Pos',['../component_2evsys_8h.html#a00f958ac44d756d6b9ce9c87a850b943',1,'evsys.h']]],
['evsys_5fbusych_5fbusych9_5fpos_21759',['EVSYS_BUSYCH_BUSYCH9_Pos',['../component_2evsys_8h.html#ababf222bab683309ee349da6383f2ea8',1,'evsys.h']]],
['evsys_5fbusych_5fbusych_5fpos_21760',['EVSYS_BUSYCH_BUSYCH_Pos',['../component_2evsys_8h.html#a61683678a21d67121554b4a91668388d',1,'evsys.h']]],
['evsys_5fbusych_5fmask_21761',['EVSYS_BUSYCH_MASK',['../component_2evsys_8h.html#a28cb2495bf2577963af2e91f494e0a9c',1,'evsys.h']]],
['evsys_5fbusych_5foffset_21762',['EVSYS_BUSYCH_OFFSET',['../component_2evsys_8h.html#a40bd649f5ae971447938d631f895a6e5',1,'evsys.h']]],
['evsys_5fbusych_5fresetvalue_21763',['EVSYS_BUSYCH_RESETVALUE',['../component_2evsys_8h.html#aa30e3348e7fff4d109c80a727b0905c5',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fboth_5fedges_5fval_21764',['EVSYS_CHANNEL_EDGSEL_BOTH_EDGES_Val',['../component_2evsys_8h.html#a30ceae6c03e9e5fb6302f5f4d234599d',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5ffalling_5fedge_5fval_21765',['EVSYS_CHANNEL_EDGSEL_FALLING_EDGE_Val',['../component_2evsys_8h.html#aea62b90832a5f319570b4fd0cdb884d5',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fno_5fevt_5foutput_5fval_21766',['EVSYS_CHANNEL_EDGSEL_NO_EVT_OUTPUT_Val',['../component_2evsys_8h.html#a80b0403aa00907e545ba1ce27a55b03a',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5fpos_21767',['EVSYS_CHANNEL_EDGSEL_Pos',['../component_2evsys_8h.html#a940ccedfddf915a4532da9b752cab3a0',1,'evsys.h']]],
['evsys_5fchannel_5fedgsel_5frising_5fedge_5fval_21768',['EVSYS_CHANNEL_EDGSEL_RISING_EDGE_Val',['../component_2evsys_8h.html#a74f200244816d34ebcda294dfa39d163',1,'evsys.h']]],
['evsys_5fchannel_5fevgen_5fpos_21769',['EVSYS_CHANNEL_EVGEN_Pos',['../component_2evsys_8h.html#a49da646ddac2acf9b067c8299b5f6335',1,'evsys.h']]],
['evsys_5fchannel_5fmask_21770',['EVSYS_CHANNEL_MASK',['../component_2evsys_8h.html#ab9faaae565f0d68b4a213473176588bf',1,'evsys.h']]],
['evsys_5fchannel_5foffset_21771',['EVSYS_CHANNEL_OFFSET',['../component_2evsys_8h.html#a1c32a9bc329d630176a5c3ee341041d9',1,'evsys.h']]],
['evsys_5fchannel_5fondemand_5fpos_21772',['EVSYS_CHANNEL_ONDEMAND_Pos',['../component_2evsys_8h.html#a401e9fcb176a21073cd02359cb8ed305',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fasynchronous_5fval_21773',['EVSYS_CHANNEL_PATH_ASYNCHRONOUS_Val',['../component_2evsys_8h.html#ae66529525c793ce0b4621730bd6d5944',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fpos_21774',['EVSYS_CHANNEL_PATH_Pos',['../component_2evsys_8h.html#a1c99b6755155dc2b405c63587973570b',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fresynchronized_5fval_21775',['EVSYS_CHANNEL_PATH_RESYNCHRONIZED_Val',['../component_2evsys_8h.html#aeb385f0d13f51660c582fb7e8d5a753a',1,'evsys.h']]],
['evsys_5fchannel_5fpath_5fsynchronous_5fval_21776',['EVSYS_CHANNEL_PATH_SYNCHRONOUS_Val',['../component_2evsys_8h.html#af113bdb42f1664532afb160e78b39fdb',1,'evsys.h']]],
['evsys_5fchannel_5fresetvalue_21777',['EVSYS_CHANNEL_RESETVALUE',['../component_2evsys_8h.html#aae954ef91a3c4d06efe030fec2c37dda',1,'evsys.h']]],
['evsys_5fchannel_5frunstdby_5fpos_21778',['EVSYS_CHANNEL_RUNSTDBY_Pos',['../component_2evsys_8h.html#a60fc44a6feb69694fa578820a9c13a77',1,'evsys.h']]],
['evsys_5fchintenclr_5fevd_5fpos_21779',['EVSYS_CHINTENCLR_EVD_Pos',['../component_2evsys_8h.html#ae4d48774baf4809b4b4ae5b57b4faff4',1,'evsys.h']]],
['evsys_5fchintenclr_5fmask_21780',['EVSYS_CHINTENCLR_MASK',['../component_2evsys_8h.html#a66455819f3a00e116d6b9b82a2faff0c',1,'evsys.h']]],
['evsys_5fchintenclr_5foffset_21781',['EVSYS_CHINTENCLR_OFFSET',['../component_2evsys_8h.html#a99d09965060b174b77163c83f36f27e5',1,'evsys.h']]],
['evsys_5fchintenclr_5fovr_5fpos_21782',['EVSYS_CHINTENCLR_OVR_Pos',['../component_2evsys_8h.html#a61e649ee61ec30612e6f2669b05382c7',1,'evsys.h']]],
['evsys_5fchintenclr_5fresetvalue_21783',['EVSYS_CHINTENCLR_RESETVALUE',['../component_2evsys_8h.html#a621cc4f8c5fe15085dc395c6bd65da94',1,'evsys.h']]],
['evsys_5fchintenset_5fevd_5fpos_21784',['EVSYS_CHINTENSET_EVD_Pos',['../component_2evsys_8h.html#a3a65ddd9311e1b095da29b3983296ad6',1,'evsys.h']]],
['evsys_5fchintenset_5fmask_21785',['EVSYS_CHINTENSET_MASK',['../component_2evsys_8h.html#a4bb33641b488807f5ea0ebb43020a30b',1,'evsys.h']]],
['evsys_5fchintenset_5foffset_21786',['EVSYS_CHINTENSET_OFFSET',['../component_2evsys_8h.html#afe0ed22b5298a7241f2a45d9be32830e',1,'evsys.h']]],
['evsys_5fchintenset_5fovr_5fpos_21787',['EVSYS_CHINTENSET_OVR_Pos',['../component_2evsys_8h.html#a67923f48d90f27e95eb814387f10d246',1,'evsys.h']]],
['evsys_5fchintenset_5fresetvalue_21788',['EVSYS_CHINTENSET_RESETVALUE',['../component_2evsys_8h.html#a8ad8b6bab60c189b30f22ac418ac8e8f',1,'evsys.h']]],
['evsys_5fchintflag_5fevd_5fpos_21789',['EVSYS_CHINTFLAG_EVD_Pos',['../component_2evsys_8h.html#ae64fd914c57449cc7aa468ba8edf9f25',1,'evsys.h']]],
['evsys_5fchintflag_5fmask_21790',['EVSYS_CHINTFLAG_MASK',['../component_2evsys_8h.html#ae5b03e2da9c291336acafb1a4fa9d235',1,'evsys.h']]],
['evsys_5fchintflag_5foffset_21791',['EVSYS_CHINTFLAG_OFFSET',['../component_2evsys_8h.html#a6161ef0982ec328c5a5052de0698a657',1,'evsys.h']]],
['evsys_5fchintflag_5fovr_5fpos_21792',['EVSYS_CHINTFLAG_OVR_Pos',['../component_2evsys_8h.html#a41cdce62325dd483d8e1db074f623261',1,'evsys.h']]],
['evsys_5fchintflag_5fresetvalue_21793',['EVSYS_CHINTFLAG_RESETVALUE',['../component_2evsys_8h.html#a1c2ef2c79d3adc8d3ad3ff52a17ece91',1,'evsys.h']]],
['evsys_5fchstatus_5fbusych_5fpos_21794',['EVSYS_CHSTATUS_BUSYCH_Pos',['../component_2evsys_8h.html#a362f571edb31b5cb8e2fccc24385ba81',1,'evsys.h']]],
['evsys_5fchstatus_5fmask_21795',['EVSYS_CHSTATUS_MASK',['../component_2evsys_8h.html#a969f2d9810912d2f07fe47602660dd7f',1,'evsys.h']]],
['evsys_5fchstatus_5foffset_21796',['EVSYS_CHSTATUS_OFFSET',['../component_2evsys_8h.html#a1feb1c1fba23446f2caa0e152ce7eb6c',1,'evsys.h']]],
['evsys_5fchstatus_5frdyusr_5fpos_21797',['EVSYS_CHSTATUS_RDYUSR_Pos',['../component_2evsys_8h.html#a3e437be2124dfcc6cce85beb30315515',1,'evsys.h']]],
['evsys_5fchstatus_5fresetvalue_21798',['EVSYS_CHSTATUS_RESETVALUE',['../component_2evsys_8h.html#a3d2d7543ed2981a7d35fbee301599189',1,'evsys.h']]],
['evsys_5fctrla_5fmask_21799',['EVSYS_CTRLA_MASK',['../component_2evsys_8h.html#ae851c5e39c0d41b3abee6745e40ec2db',1,'evsys.h']]],
['evsys_5fctrla_5foffset_21800',['EVSYS_CTRLA_OFFSET',['../component_2evsys_8h.html#a9c19dd4f0e5049313e765d9b91338912',1,'evsys.h']]],
['evsys_5fctrla_5fresetvalue_21801',['EVSYS_CTRLA_RESETVALUE',['../component_2evsys_8h.html#a62b57b85c568e42ba4488825a6297985',1,'evsys.h']]],
['evsys_5fctrla_5fswrst_5fpos_21802',['EVSYS_CTRLA_SWRST_Pos',['../component_2evsys_8h.html#a4d17eb23599371688a7499a86755f6fd',1,'evsys.h']]],
['evsys_5finst_5fnum_21803',['EVSYS_INST_NUM',['../same54n19a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a583d75632c4ff28dfacb316701432719',1,'EVSYS_INST_NUM():&#160;same54p20a.h']]],
['evsys_5finsts_21804',['EVSYS_INSTS',['../same54n19a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a0af5305dde743bb82fbe58740726c78f',1,'EVSYS_INSTS():&#160;same54p20a.h']]],
['evsys_5fintpend_5fbusy_5fpos_21805',['EVSYS_INTPEND_BUSY_Pos',['../component_2evsys_8h.html#a16ecf00a467bf2cdae4a704e0e203190',1,'evsys.h']]],
['evsys_5fintpend_5fevd_5fpos_21806',['EVSYS_INTPEND_EVD_Pos',['../component_2evsys_8h.html#a1b2b1e781a35406341bc89903afdf9dc',1,'evsys.h']]],
['evsys_5fintpend_5fid_5fpos_21807',['EVSYS_INTPEND_ID_Pos',['../component_2evsys_8h.html#af9cdd37532b09107d584f41124fa3ad4',1,'evsys.h']]],
['evsys_5fintpend_5fmask_21808',['EVSYS_INTPEND_MASK',['../component_2evsys_8h.html#aa4da0e6e9fe9d2848c3886d3a4151c29',1,'evsys.h']]],
['evsys_5fintpend_5foffset_21809',['EVSYS_INTPEND_OFFSET',['../component_2evsys_8h.html#a4113632d96e631e9a8930e453be93dfa',1,'evsys.h']]],
['evsys_5fintpend_5fovr_5fpos_21810',['EVSYS_INTPEND_OVR_Pos',['../component_2evsys_8h.html#a8c1104a142c6a25674be8f2d45dcae65',1,'evsys.h']]],
['evsys_5fintpend_5fready_5fpos_21811',['EVSYS_INTPEND_READY_Pos',['../component_2evsys_8h.html#a98226e8785369fd70b510afdf72cbae1',1,'evsys.h']]],
['evsys_5fintpend_5fresetvalue_21812',['EVSYS_INTPEND_RESETVALUE',['../component_2evsys_8h.html#a3cda3bfe716b30be1a80585bc51e6130',1,'evsys.h']]],
['evsys_5fintstatus_5fchint0_5fpos_21813',['EVSYS_INTSTATUS_CHINT0_Pos',['../component_2evsys_8h.html#a97062c5d5ab65176401343401b29b24a',1,'evsys.h']]],
['evsys_5fintstatus_5fchint10_5fpos_21814',['EVSYS_INTSTATUS_CHINT10_Pos',['../component_2evsys_8h.html#ad0ce19dee65616fd8ed4e960feb0abcd',1,'evsys.h']]],
['evsys_5fintstatus_5fchint11_5fpos_21815',['EVSYS_INTSTATUS_CHINT11_Pos',['../component_2evsys_8h.html#ad8c12352bc05b7fa429633bb41187834',1,'evsys.h']]],
['evsys_5fintstatus_5fchint1_5fpos_21816',['EVSYS_INTSTATUS_CHINT1_Pos',['../component_2evsys_8h.html#ad4059d207e23e631337f526b70361899',1,'evsys.h']]],
['evsys_5fintstatus_5fchint2_5fpos_21817',['EVSYS_INTSTATUS_CHINT2_Pos',['../component_2evsys_8h.html#a0524377938c821b77964b2abce1079ec',1,'evsys.h']]],
['evsys_5fintstatus_5fchint3_5fpos_21818',['EVSYS_INTSTATUS_CHINT3_Pos',['../component_2evsys_8h.html#a6551037c5d3cbb294b797cd46cab4e5b',1,'evsys.h']]],
['evsys_5fintstatus_5fchint4_5fpos_21819',['EVSYS_INTSTATUS_CHINT4_Pos',['../component_2evsys_8h.html#a93f624f10633a14d6e6ce2342b1e6ede',1,'evsys.h']]],
['evsys_5fintstatus_5fchint5_5fpos_21820',['EVSYS_INTSTATUS_CHINT5_Pos',['../component_2evsys_8h.html#ad6242e40f057626ce0bd2a8511d9b327',1,'evsys.h']]],
['evsys_5fintstatus_5fchint6_5fpos_21821',['EVSYS_INTSTATUS_CHINT6_Pos',['../component_2evsys_8h.html#a04fa2d3a77eebb0d8a5070c2ec963fec',1,'evsys.h']]],
['evsys_5fintstatus_5fchint7_5fpos_21822',['EVSYS_INTSTATUS_CHINT7_Pos',['../component_2evsys_8h.html#adfd7ca8c1241b8ba45bd20923218ff5a',1,'evsys.h']]],
['evsys_5fintstatus_5fchint8_5fpos_21823',['EVSYS_INTSTATUS_CHINT8_Pos',['../component_2evsys_8h.html#abfefcbbaff8fdd2882a3e0f02e8db9e9',1,'evsys.h']]],
['evsys_5fintstatus_5fchint9_5fpos_21824',['EVSYS_INTSTATUS_CHINT9_Pos',['../component_2evsys_8h.html#ab2dc2470f575a323b9946c75998deba4',1,'evsys.h']]],
['evsys_5fintstatus_5fchint_5fpos_21825',['EVSYS_INTSTATUS_CHINT_Pos',['../component_2evsys_8h.html#adc9042266d45a3b79992034d0c05ae0e',1,'evsys.h']]],
['evsys_5fintstatus_5fmask_21826',['EVSYS_INTSTATUS_MASK',['../component_2evsys_8h.html#a7575ca1fa09c931a05762f10a8127cef',1,'evsys.h']]],
['evsys_5fintstatus_5foffset_21827',['EVSYS_INTSTATUS_OFFSET',['../component_2evsys_8h.html#a887c293978f2612cc4c43696d9555ab7',1,'evsys.h']]],
['evsys_5fintstatus_5fresetvalue_21828',['EVSYS_INTSTATUS_RESETVALUE',['../component_2evsys_8h.html#a7348ea31c54f3312ff0dd8b5e3d6658a',1,'evsys.h']]],
['evsys_5fprictrl_5fmask_21829',['EVSYS_PRICTRL_MASK',['../component_2evsys_8h.html#a1f301484062107c876cdbd6fef764796',1,'evsys.h']]],
['evsys_5fprictrl_5foffset_21830',['EVSYS_PRICTRL_OFFSET',['../component_2evsys_8h.html#a22541e6a8b5a70137cefc0929ac2b1f1',1,'evsys.h']]],
['evsys_5fprictrl_5fpri_5fpos_21831',['EVSYS_PRICTRL_PRI_Pos',['../component_2evsys_8h.html#a6d7d2d447189cd149c146f253c021e4c',1,'evsys.h']]],
['evsys_5fprictrl_5fresetvalue_21832',['EVSYS_PRICTRL_RESETVALUE',['../component_2evsys_8h.html#a5771468afcdaa8ef28dbe36f7c15da7f',1,'evsys.h']]],
['evsys_5fprictrl_5frren_5fpos_21833',['EVSYS_PRICTRL_RREN_Pos',['../component_2evsys_8h.html#ae47f5ef7da6e116b7b8bd65179160ee3',1,'evsys.h']]],
['evsys_5freadyusr_5fmask_21834',['EVSYS_READYUSR_MASK',['../component_2evsys_8h.html#a763be5cee260e2ce7c14cf0e03110c2f',1,'evsys.h']]],
['evsys_5freadyusr_5foffset_21835',['EVSYS_READYUSR_OFFSET',['../component_2evsys_8h.html#ad5c816ad5a5fe7304367bd6a68c62ca8',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr0_5fpos_21836',['EVSYS_READYUSR_READYUSR0_Pos',['../component_2evsys_8h.html#a66d6e0339c306de22765611c46d1aaba',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr10_5fpos_21837',['EVSYS_READYUSR_READYUSR10_Pos',['../component_2evsys_8h.html#a0b04fc9d287be7ee56309fff5a7e5426',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr11_5fpos_21838',['EVSYS_READYUSR_READYUSR11_Pos',['../component_2evsys_8h.html#aafab78921ac5f3c9d55f04597ab54e7c',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr1_5fpos_21839',['EVSYS_READYUSR_READYUSR1_Pos',['../component_2evsys_8h.html#aa51cb3896b6fa5216ff61078aaf07c85',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr2_5fpos_21840',['EVSYS_READYUSR_READYUSR2_Pos',['../component_2evsys_8h.html#ab44f83aead2c93ff21d3ec5f2b2acd2a',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr3_5fpos_21841',['EVSYS_READYUSR_READYUSR3_Pos',['../component_2evsys_8h.html#a8ab422175017b88560a3604dc1b7924b',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr4_5fpos_21842',['EVSYS_READYUSR_READYUSR4_Pos',['../component_2evsys_8h.html#af7e929036426ba0dc7ba39d64ff4cb9d',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr5_5fpos_21843',['EVSYS_READYUSR_READYUSR5_Pos',['../component_2evsys_8h.html#a02998b416b85512425e6e65384c0672a',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr6_5fpos_21844',['EVSYS_READYUSR_READYUSR6_Pos',['../component_2evsys_8h.html#a0ee4b1199eda7995e01047e6f3dc4b2f',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr7_5fpos_21845',['EVSYS_READYUSR_READYUSR7_Pos',['../component_2evsys_8h.html#a30d180998696358eff3b09e58f081014',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr8_5fpos_21846',['EVSYS_READYUSR_READYUSR8_Pos',['../component_2evsys_8h.html#a92644c1234fe78f1c2137e0b96ef7db3',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr9_5fpos_21847',['EVSYS_READYUSR_READYUSR9_Pos',['../component_2evsys_8h.html#a0639b34b9b188b910443c517bbcbc735',1,'evsys.h']]],
['evsys_5freadyusr_5freadyusr_5fpos_21848',['EVSYS_READYUSR_READYUSR_Pos',['../component_2evsys_8h.html#a368c68ce29813958f461ceaee83ddf51',1,'evsys.h']]],
['evsys_5freadyusr_5fresetvalue_21849',['EVSYS_READYUSR_RESETVALUE',['../component_2evsys_8h.html#a9f4f8a9e758eb78dc2fb69e67a951da5',1,'evsys.h']]],
['evsys_5fswevt_5fchannel0_5fpos_21850',['EVSYS_SWEVT_CHANNEL0_Pos',['../component_2evsys_8h.html#af6d9abc112b697d25dcfde810b1ec6c7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel10_5fpos_21851',['EVSYS_SWEVT_CHANNEL10_Pos',['../component_2evsys_8h.html#a1eaa9ec8266a8d6c0ad351c8459dc993',1,'evsys.h']]],
['evsys_5fswevt_5fchannel11_5fpos_21852',['EVSYS_SWEVT_CHANNEL11_Pos',['../component_2evsys_8h.html#a8054acc441c110d0108ec677b4d1dd66',1,'evsys.h']]],
['evsys_5fswevt_5fchannel12_5fpos_21853',['EVSYS_SWEVT_CHANNEL12_Pos',['../component_2evsys_8h.html#a4b4e4f2f1e12126e0709b7d1ca56bccf',1,'evsys.h']]],
['evsys_5fswevt_5fchannel13_5fpos_21854',['EVSYS_SWEVT_CHANNEL13_Pos',['../component_2evsys_8h.html#a61ecc8da3e27780be7fef1ab63cc7188',1,'evsys.h']]],
['evsys_5fswevt_5fchannel14_5fpos_21855',['EVSYS_SWEVT_CHANNEL14_Pos',['../component_2evsys_8h.html#a4e9ddf508e791aa783117ac137bc8006',1,'evsys.h']]],
['evsys_5fswevt_5fchannel15_5fpos_21856',['EVSYS_SWEVT_CHANNEL15_Pos',['../component_2evsys_8h.html#a5ad60bff0df62d2eaba9d2ccad9bbd5c',1,'evsys.h']]],
['evsys_5fswevt_5fchannel16_5fpos_21857',['EVSYS_SWEVT_CHANNEL16_Pos',['../component_2evsys_8h.html#ad29877d8a0999164981cc44ecde02e18',1,'evsys.h']]],
['evsys_5fswevt_5fchannel17_5fpos_21858',['EVSYS_SWEVT_CHANNEL17_Pos',['../component_2evsys_8h.html#a9e7a22a0c11556dfff983f84ab0b4ba8',1,'evsys.h']]],
['evsys_5fswevt_5fchannel18_5fpos_21859',['EVSYS_SWEVT_CHANNEL18_Pos',['../component_2evsys_8h.html#af64fa01f8b275782b1ffebe2870ae6e2',1,'evsys.h']]],
['evsys_5fswevt_5fchannel19_5fpos_21860',['EVSYS_SWEVT_CHANNEL19_Pos',['../component_2evsys_8h.html#a868656cbfe23b510ddb72013c9061eae',1,'evsys.h']]],
['evsys_5fswevt_5fchannel1_5fpos_21861',['EVSYS_SWEVT_CHANNEL1_Pos',['../component_2evsys_8h.html#a99eae07b98fdc3b6ce69f85c66bd6c19',1,'evsys.h']]],
['evsys_5fswevt_5fchannel20_5fpos_21862',['EVSYS_SWEVT_CHANNEL20_Pos',['../component_2evsys_8h.html#aedf20e8f758890a1de81706308797eb7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel21_5fpos_21863',['EVSYS_SWEVT_CHANNEL21_Pos',['../component_2evsys_8h.html#a5a5f81e40e2ccbd7ef320edc3aae2ee4',1,'evsys.h']]],
['evsys_5fswevt_5fchannel22_5fpos_21864',['EVSYS_SWEVT_CHANNEL22_Pos',['../component_2evsys_8h.html#a5fcf1d4cbab96f507881fd15752455da',1,'evsys.h']]],
['evsys_5fswevt_5fchannel23_5fpos_21865',['EVSYS_SWEVT_CHANNEL23_Pos',['../component_2evsys_8h.html#a6899060504074799b83d6c4af7013545',1,'evsys.h']]],
['evsys_5fswevt_5fchannel24_5fpos_21866',['EVSYS_SWEVT_CHANNEL24_Pos',['../component_2evsys_8h.html#adea640a65a999b4803a5206c5d70c1b6',1,'evsys.h']]],
['evsys_5fswevt_5fchannel25_5fpos_21867',['EVSYS_SWEVT_CHANNEL25_Pos',['../component_2evsys_8h.html#a2bd2e0cebae20042450a23f9208e5ad8',1,'evsys.h']]],
['evsys_5fswevt_5fchannel26_5fpos_21868',['EVSYS_SWEVT_CHANNEL26_Pos',['../component_2evsys_8h.html#a78327add9d851964004c8cbfa73c1e9d',1,'evsys.h']]],
['evsys_5fswevt_5fchannel27_5fpos_21869',['EVSYS_SWEVT_CHANNEL27_Pos',['../component_2evsys_8h.html#a80b56524a05b9258ac87dea440d2d3fb',1,'evsys.h']]],
['evsys_5fswevt_5fchannel28_5fpos_21870',['EVSYS_SWEVT_CHANNEL28_Pos',['../component_2evsys_8h.html#a4f89d81f9f939909a4112fb9dcd24703',1,'evsys.h']]],
['evsys_5fswevt_5fchannel29_5fpos_21871',['EVSYS_SWEVT_CHANNEL29_Pos',['../component_2evsys_8h.html#a8b91dadba77a192d56fee9d9cbb0c86d',1,'evsys.h']]],
['evsys_5fswevt_5fchannel2_5fpos_21872',['EVSYS_SWEVT_CHANNEL2_Pos',['../component_2evsys_8h.html#a324b1a957add8ed5df1080df90e43c19',1,'evsys.h']]],
['evsys_5fswevt_5fchannel30_5fpos_21873',['EVSYS_SWEVT_CHANNEL30_Pos',['../component_2evsys_8h.html#a407e67075afc274b88bb93704720605f',1,'evsys.h']]],
['evsys_5fswevt_5fchannel31_5fpos_21874',['EVSYS_SWEVT_CHANNEL31_Pos',['../component_2evsys_8h.html#a55090b8d02a0fb9b78d7dabe327fc837',1,'evsys.h']]],
['evsys_5fswevt_5fchannel3_5fpos_21875',['EVSYS_SWEVT_CHANNEL3_Pos',['../component_2evsys_8h.html#a8e3359be2580cdae8c60963148ec2a81',1,'evsys.h']]],
['evsys_5fswevt_5fchannel4_5fpos_21876',['EVSYS_SWEVT_CHANNEL4_Pos',['../component_2evsys_8h.html#ae5c6f56b2cf90a57d0e30566c81cb95e',1,'evsys.h']]],
['evsys_5fswevt_5fchannel5_5fpos_21877',['EVSYS_SWEVT_CHANNEL5_Pos',['../component_2evsys_8h.html#af4c9fa0dccae3d5e0ebb2e5e2dd83b64',1,'evsys.h']]],
['evsys_5fswevt_5fchannel6_5fpos_21878',['EVSYS_SWEVT_CHANNEL6_Pos',['../component_2evsys_8h.html#a923930945122e66f2e3a5734f2a1a4b7',1,'evsys.h']]],
['evsys_5fswevt_5fchannel7_5fpos_21879',['EVSYS_SWEVT_CHANNEL7_Pos',['../component_2evsys_8h.html#a4618f702d0e3ee1f6b10198b9acbf9af',1,'evsys.h']]],
['evsys_5fswevt_5fchannel8_5fpos_21880',['EVSYS_SWEVT_CHANNEL8_Pos',['../component_2evsys_8h.html#a42bdadb5359ee8f5d6d534ad7f0bc431',1,'evsys.h']]],
['evsys_5fswevt_5fchannel9_5fpos_21881',['EVSYS_SWEVT_CHANNEL9_Pos',['../component_2evsys_8h.html#a83e99e73d2237f443c327cfece7d6de3',1,'evsys.h']]],
['evsys_5fswevt_5fchannel_5fpos_21882',['EVSYS_SWEVT_CHANNEL_Pos',['../component_2evsys_8h.html#ade0f8631c02c7cd2c5ea987d87f97a53',1,'evsys.h']]],
['evsys_5fswevt_5fmask_21883',['EVSYS_SWEVT_MASK',['../component_2evsys_8h.html#a6d1354f5762fb3cbc2a99f5ca0cb29bf',1,'evsys.h']]],
['evsys_5fswevt_5foffset_21884',['EVSYS_SWEVT_OFFSET',['../component_2evsys_8h.html#a167034f50f9b8d02b01907de78ac5af3',1,'evsys.h']]],
['evsys_5fswevt_5fresetvalue_21885',['EVSYS_SWEVT_RESETVALUE',['../component_2evsys_8h.html#a80608cde26d5a1d22be06c196acfaaa2',1,'evsys.h']]],
['evsys_5fuser_5fchannel_5fpos_21886',['EVSYS_USER_CHANNEL_Pos',['../component_2evsys_8h.html#a4ce28148cd49044148eed72085814831',1,'evsys.h']]],
['evsys_5fuser_5fmask_21887',['EVSYS_USER_MASK',['../component_2evsys_8h.html#ae051bcee71e9b6fca121b9c03ff961d8',1,'evsys.h']]],
['evsys_5fuser_5foffset_21888',['EVSYS_USER_OFFSET',['../component_2evsys_8h.html#a43110f222057e1543486fc474450131c',1,'evsys.h']]],
['evsys_5fuser_5fresetvalue_21889',['EVSYS_USER_RESETVALUE',['../component_2evsys_8h.html#af4ba09be942a94f1cb7a9ed23ac108ab',1,'evsys.h']]]
];

@ -1,60 +1,60 @@
var searchData=
[
['flash_5faddr_21820',['FLASH_ADDR',['../same54n19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p20a.h']]],
['freqm_21821',['FREQM',['../same54n19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p20a.h']]],
['freqm_5fcfga_5fmask_21822',['FREQM_CFGA_MASK',['../component_2freqm_8h.html#a33de8b24f88bedb7bbd286af13e23a87',1,'freqm.h']]],
['freqm_5fcfga_5foffset_21823',['FREQM_CFGA_OFFSET',['../component_2freqm_8h.html#a5835c5f151d4b6bd35bd6fc7061ea6a4',1,'freqm.h']]],
['freqm_5fcfga_5frefnum_5fpos_21824',['FREQM_CFGA_REFNUM_Pos',['../component_2freqm_8h.html#ac3930b6975b7736bb6a5ee670a28a6e4',1,'freqm.h']]],
['freqm_5fcfga_5fresetvalue_21825',['FREQM_CFGA_RESETVALUE',['../component_2freqm_8h.html#afcf71438184c4b9afc75af14277496a0',1,'freqm.h']]],
['freqm_5fctrla_5fenable_5fpos_21826',['FREQM_CTRLA_ENABLE_Pos',['../component_2freqm_8h.html#ab3660ed3c6f680738687786dc974b0fc',1,'freqm.h']]],
['freqm_5fctrla_5fmask_21827',['FREQM_CTRLA_MASK',['../component_2freqm_8h.html#a451d4d6e44dbf4f144d3e834468e4be2',1,'freqm.h']]],
['freqm_5fctrla_5foffset_21828',['FREQM_CTRLA_OFFSET',['../component_2freqm_8h.html#a623254aadaef4942d2fe0e4f72deb9b3',1,'freqm.h']]],
['freqm_5fctrla_5fresetvalue_21829',['FREQM_CTRLA_RESETVALUE',['../component_2freqm_8h.html#a8656c15db87048e0eeadc09522615e12',1,'freqm.h']]],
['freqm_5fctrla_5fswrst_5fpos_21830',['FREQM_CTRLA_SWRST_Pos',['../component_2freqm_8h.html#a4487b5f3d94c3c8cfbb649230f5fd7e4',1,'freqm.h']]],
['freqm_5fctrlb_5fmask_21831',['FREQM_CTRLB_MASK',['../component_2freqm_8h.html#abab11724db32ac2d0bcf9d9a9003e545',1,'freqm.h']]],
['freqm_5fctrlb_5foffset_21832',['FREQM_CTRLB_OFFSET',['../component_2freqm_8h.html#a71beaeca8787b49fac6e47b3f98ed178',1,'freqm.h']]],
['freqm_5fctrlb_5fresetvalue_21833',['FREQM_CTRLB_RESETVALUE',['../component_2freqm_8h.html#a437850109ccd64c5e708704920993da7',1,'freqm.h']]],
['freqm_5fctrlb_5fstart_5fpos_21834',['FREQM_CTRLB_START_Pos',['../component_2freqm_8h.html#ac575d2e8b327956f7a9271a14dff4745',1,'freqm.h']]],
['freqm_5finst_5fnum_21835',['FREQM_INST_NUM',['../same54n19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p20a.h']]],
['freqm_5finsts_21836',['FREQM_INSTS',['../same54n19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p20a.h']]],
['freqm_5fintenclr_5fdone_5fpos_21837',['FREQM_INTENCLR_DONE_Pos',['../component_2freqm_8h.html#a3d742bee7a8d19b4734a4a29d30622f7',1,'freqm.h']]],
['freqm_5fintenclr_5fmask_21838',['FREQM_INTENCLR_MASK',['../component_2freqm_8h.html#a1956ca13e973b88eea66618c51ef48b3',1,'freqm.h']]],
['freqm_5fintenclr_5foffset_21839',['FREQM_INTENCLR_OFFSET',['../component_2freqm_8h.html#a31da73dd35a463ca4eea941ee1f55e1c',1,'freqm.h']]],
['freqm_5fintenclr_5fresetvalue_21840',['FREQM_INTENCLR_RESETVALUE',['../component_2freqm_8h.html#a4cfbcaf309592f477f8aca52d4da0b00',1,'freqm.h']]],
['freqm_5fintenset_5fdone_5fpos_21841',['FREQM_INTENSET_DONE_Pos',['../component_2freqm_8h.html#a9343bb0070741ae8863e061d501d7815',1,'freqm.h']]],
['freqm_5fintenset_5fmask_21842',['FREQM_INTENSET_MASK',['../component_2freqm_8h.html#aa7c2b1805de385754efffce342e99817',1,'freqm.h']]],
['freqm_5fintenset_5foffset_21843',['FREQM_INTENSET_OFFSET',['../component_2freqm_8h.html#a322e0b560edc5ac084d4f9a338850b55',1,'freqm.h']]],
['freqm_5fintenset_5fresetvalue_21844',['FREQM_INTENSET_RESETVALUE',['../component_2freqm_8h.html#aad4904b7b0bd47ea2312c0b14a12ca48',1,'freqm.h']]],
['freqm_5fintflag_5fdone_5fpos_21845',['FREQM_INTFLAG_DONE_Pos',['../component_2freqm_8h.html#a297418104703e236fdfb9ec6f8d82f6e',1,'freqm.h']]],
['freqm_5fintflag_5fmask_21846',['FREQM_INTFLAG_MASK',['../component_2freqm_8h.html#a1975f795a95041fb4f0ab01e25cceeea',1,'freqm.h']]],
['freqm_5fintflag_5foffset_21847',['FREQM_INTFLAG_OFFSET',['../component_2freqm_8h.html#aea43e28481d2a027a76f4474a6ed8532',1,'freqm.h']]],
['freqm_5fintflag_5fresetvalue_21848',['FREQM_INTFLAG_RESETVALUE',['../component_2freqm_8h.html#a302d92b17313ed5b9b94446827b559b6',1,'freqm.h']]],
['freqm_5fstatus_5fbusy_5fpos_21849',['FREQM_STATUS_BUSY_Pos',['../component_2freqm_8h.html#a39ad257ccdcb50dae4632c592e8a0faa',1,'freqm.h']]],
['freqm_5fstatus_5fmask_21850',['FREQM_STATUS_MASK',['../component_2freqm_8h.html#a38c7441e04c013ceb30abc6a68828221',1,'freqm.h']]],
['freqm_5fstatus_5foffset_21851',['FREQM_STATUS_OFFSET',['../component_2freqm_8h.html#a9bc1627588f595c84efac70a1897b435',1,'freqm.h']]],
['freqm_5fstatus_5fovf_5fpos_21852',['FREQM_STATUS_OVF_Pos',['../component_2freqm_8h.html#abf3e33ca9df370bbf1df2fdad0206755',1,'freqm.h']]],
['freqm_5fstatus_5fresetvalue_21853',['FREQM_STATUS_RESETVALUE',['../component_2freqm_8h.html#a2246bd9800c0c53833e7d0f205ad80bd',1,'freqm.h']]],
['freqm_5fsyncbusy_5fenable_5fpos_21854',['FREQM_SYNCBUSY_ENABLE_Pos',['../component_2freqm_8h.html#a470279b12e764e5d1bf66fae613e87bb',1,'freqm.h']]],
['freqm_5fsyncbusy_5fmask_21855',['FREQM_SYNCBUSY_MASK',['../component_2freqm_8h.html#a82a1747c20d325fa4cd125e7130e99cd',1,'freqm.h']]],
['freqm_5fsyncbusy_5foffset_21856',['FREQM_SYNCBUSY_OFFSET',['../component_2freqm_8h.html#a5cb7477e6e83f8b6a50d38efc516030b',1,'freqm.h']]],
['freqm_5fsyncbusy_5fresetvalue_21857',['FREQM_SYNCBUSY_RESETVALUE',['../component_2freqm_8h.html#af1bb6a6e94796c96bb6e082d30786b2d',1,'freqm.h']]],
['freqm_5fsyncbusy_5fswrst_5fpos_21858',['FREQM_SYNCBUSY_SWRST_Pos',['../component_2freqm_8h.html#afa5d4860d253f6e57ec3854826e506de',1,'freqm.h']]],
['freqm_5fvalue_5fmask_21859',['FREQM_VALUE_MASK',['../component_2freqm_8h.html#a129fbc326338dee08cf86f78259703de',1,'freqm.h']]],
['freqm_5fvalue_5foffset_21860',['FREQM_VALUE_OFFSET',['../component_2freqm_8h.html#a667b866874d1a6766ecff28635ba6616',1,'freqm.h']]],
['freqm_5fvalue_5fresetvalue_21861',['FREQM_VALUE_RESETVALUE',['../component_2freqm_8h.html#a7e701385f3015d5c8ed4e54b2641f33d',1,'freqm.h']]],
['freqm_5fvalue_5fvalue_5fpos_21862',['FREQM_VALUE_VALUE_Pos',['../component_2freqm_8h.html#ad6aa2e8dfd43141479ecae0eb156d610',1,'freqm.h']]],
['fuses_5fbod33_5faction_5fpos_21863',['FUSES_BOD33_ACTION_Pos',['../component_2nvmctrl_8h.html#aac742323e1fa3072afa8ce20817c58f3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fdis_5fpos_21864',['FUSES_BOD33_DIS_Pos',['../component_2nvmctrl_8h.html#a72a0920038e8fd5892ae9e4d91ae0ac3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fhyst_5fpos_21865',['FUSES_BOD33_HYST_Pos',['../component_2nvmctrl_8h.html#a5ab9b1d814cf783918e8b12a908295ef',1,'nvmctrl.h']]],
['fuses_5fbod33userlevel_5fpos_21866',['FUSES_BOD33USERLEVEL_Pos',['../component_2nvmctrl_8h.html#a9e8109cd0996312419933645a2f2a089',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fctat_5fpos_21867',['FUSES_HOT_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#abb81d457f6232e78ff61d0e80f925706',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fptat_5fpos_21868',['FUSES_HOT_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#a1101fa251c80578d13dd58fb49ba1a47',1,'nvmctrl.h']]],
['fuses_5fhot_5fint1v_5fval_5fpos_21869',['FUSES_HOT_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#a8b14f5897dd4c958cde93e08ee14ae2a',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fdec_5fpos_21870',['FUSES_HOT_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#afc1b6bd9fd9cdb5f0a1e89d14bb6f100',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fint_5fpos_21871',['FUSES_HOT_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a3c7e8d3e46d03e0b6424b34762295643',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fctat_5fpos_21872',['FUSES_ROOM_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#a27ddb7402e2867d17c8892a802136fd7',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fptat_5fpos_21873',['FUSES_ROOM_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#aefb2f458ba54047a124fdbe10212791e',1,'nvmctrl.h']]],
['fuses_5froom_5fint1v_5fval_5fpos_21874',['FUSES_ROOM_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#aac3dee19f6ada7e3e76edabd527b521d',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fdec_5fpos_21875',['FUSES_ROOM_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#aac2a02c5ab8d7fd6e6be94f6657558a6',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fint_5fpos_21876',['FUSES_ROOM_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a614f00b6390a26716abbcbbd6e7d7b7d',1,'nvmctrl.h']]]
['flash_5faddr_21890',['FLASH_ADDR',['../same54n19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a485a21e55bcc66416a04d2fec83513fa',1,'FLASH_ADDR():&#160;same54p20a.h']]],
['freqm_21891',['FREQM',['../same54n19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a38ce2a65dcdc3e44b2361e552347575c',1,'FREQM():&#160;same54p20a.h']]],
['freqm_5fcfga_5fmask_21892',['FREQM_CFGA_MASK',['../component_2freqm_8h.html#a33de8b24f88bedb7bbd286af13e23a87',1,'freqm.h']]],
['freqm_5fcfga_5foffset_21893',['FREQM_CFGA_OFFSET',['../component_2freqm_8h.html#a5835c5f151d4b6bd35bd6fc7061ea6a4',1,'freqm.h']]],
['freqm_5fcfga_5frefnum_5fpos_21894',['FREQM_CFGA_REFNUM_Pos',['../component_2freqm_8h.html#ac3930b6975b7736bb6a5ee670a28a6e4',1,'freqm.h']]],
['freqm_5fcfga_5fresetvalue_21895',['FREQM_CFGA_RESETVALUE',['../component_2freqm_8h.html#afcf71438184c4b9afc75af14277496a0',1,'freqm.h']]],
['freqm_5fctrla_5fenable_5fpos_21896',['FREQM_CTRLA_ENABLE_Pos',['../component_2freqm_8h.html#ab3660ed3c6f680738687786dc974b0fc',1,'freqm.h']]],
['freqm_5fctrla_5fmask_21897',['FREQM_CTRLA_MASK',['../component_2freqm_8h.html#a451d4d6e44dbf4f144d3e834468e4be2',1,'freqm.h']]],
['freqm_5fctrla_5foffset_21898',['FREQM_CTRLA_OFFSET',['../component_2freqm_8h.html#a623254aadaef4942d2fe0e4f72deb9b3',1,'freqm.h']]],
['freqm_5fctrla_5fresetvalue_21899',['FREQM_CTRLA_RESETVALUE',['../component_2freqm_8h.html#a8656c15db87048e0eeadc09522615e12',1,'freqm.h']]],
['freqm_5fctrla_5fswrst_5fpos_21900',['FREQM_CTRLA_SWRST_Pos',['../component_2freqm_8h.html#a4487b5f3d94c3c8cfbb649230f5fd7e4',1,'freqm.h']]],
['freqm_5fctrlb_5fmask_21901',['FREQM_CTRLB_MASK',['../component_2freqm_8h.html#abab11724db32ac2d0bcf9d9a9003e545',1,'freqm.h']]],
['freqm_5fctrlb_5foffset_21902',['FREQM_CTRLB_OFFSET',['../component_2freqm_8h.html#a71beaeca8787b49fac6e47b3f98ed178',1,'freqm.h']]],
['freqm_5fctrlb_5fresetvalue_21903',['FREQM_CTRLB_RESETVALUE',['../component_2freqm_8h.html#a437850109ccd64c5e708704920993da7',1,'freqm.h']]],
['freqm_5fctrlb_5fstart_5fpos_21904',['FREQM_CTRLB_START_Pos',['../component_2freqm_8h.html#ac575d2e8b327956f7a9271a14dff4745',1,'freqm.h']]],
['freqm_5finst_5fnum_21905',['FREQM_INST_NUM',['../same54n19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a615af8337f0d719707ad163d3eb3f5c2',1,'FREQM_INST_NUM():&#160;same54p20a.h']]],
['freqm_5finsts_21906',['FREQM_INSTS',['../same54n19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a555947fa5ab11e6144b845805dabfd13',1,'FREQM_INSTS():&#160;same54p20a.h']]],
['freqm_5fintenclr_5fdone_5fpos_21907',['FREQM_INTENCLR_DONE_Pos',['../component_2freqm_8h.html#a3d742bee7a8d19b4734a4a29d30622f7',1,'freqm.h']]],
['freqm_5fintenclr_5fmask_21908',['FREQM_INTENCLR_MASK',['../component_2freqm_8h.html#a1956ca13e973b88eea66618c51ef48b3',1,'freqm.h']]],
['freqm_5fintenclr_5foffset_21909',['FREQM_INTENCLR_OFFSET',['../component_2freqm_8h.html#a31da73dd35a463ca4eea941ee1f55e1c',1,'freqm.h']]],
['freqm_5fintenclr_5fresetvalue_21910',['FREQM_INTENCLR_RESETVALUE',['../component_2freqm_8h.html#a4cfbcaf309592f477f8aca52d4da0b00',1,'freqm.h']]],
['freqm_5fintenset_5fdone_5fpos_21911',['FREQM_INTENSET_DONE_Pos',['../component_2freqm_8h.html#a9343bb0070741ae8863e061d501d7815',1,'freqm.h']]],
['freqm_5fintenset_5fmask_21912',['FREQM_INTENSET_MASK',['../component_2freqm_8h.html#aa7c2b1805de385754efffce342e99817',1,'freqm.h']]],
['freqm_5fintenset_5foffset_21913',['FREQM_INTENSET_OFFSET',['../component_2freqm_8h.html#a322e0b560edc5ac084d4f9a338850b55',1,'freqm.h']]],
['freqm_5fintenset_5fresetvalue_21914',['FREQM_INTENSET_RESETVALUE',['../component_2freqm_8h.html#aad4904b7b0bd47ea2312c0b14a12ca48',1,'freqm.h']]],
['freqm_5fintflag_5fdone_5fpos_21915',['FREQM_INTFLAG_DONE_Pos',['../component_2freqm_8h.html#a297418104703e236fdfb9ec6f8d82f6e',1,'freqm.h']]],
['freqm_5fintflag_5fmask_21916',['FREQM_INTFLAG_MASK',['../component_2freqm_8h.html#a1975f795a95041fb4f0ab01e25cceeea',1,'freqm.h']]],
['freqm_5fintflag_5foffset_21917',['FREQM_INTFLAG_OFFSET',['../component_2freqm_8h.html#aea43e28481d2a027a76f4474a6ed8532',1,'freqm.h']]],
['freqm_5fintflag_5fresetvalue_21918',['FREQM_INTFLAG_RESETVALUE',['../component_2freqm_8h.html#a302d92b17313ed5b9b94446827b559b6',1,'freqm.h']]],
['freqm_5fstatus_5fbusy_5fpos_21919',['FREQM_STATUS_BUSY_Pos',['../component_2freqm_8h.html#a39ad257ccdcb50dae4632c592e8a0faa',1,'freqm.h']]],
['freqm_5fstatus_5fmask_21920',['FREQM_STATUS_MASK',['../component_2freqm_8h.html#a38c7441e04c013ceb30abc6a68828221',1,'freqm.h']]],
['freqm_5fstatus_5foffset_21921',['FREQM_STATUS_OFFSET',['../component_2freqm_8h.html#a9bc1627588f595c84efac70a1897b435',1,'freqm.h']]],
['freqm_5fstatus_5fovf_5fpos_21922',['FREQM_STATUS_OVF_Pos',['../component_2freqm_8h.html#abf3e33ca9df370bbf1df2fdad0206755',1,'freqm.h']]],
['freqm_5fstatus_5fresetvalue_21923',['FREQM_STATUS_RESETVALUE',['../component_2freqm_8h.html#a2246bd9800c0c53833e7d0f205ad80bd',1,'freqm.h']]],
['freqm_5fsyncbusy_5fenable_5fpos_21924',['FREQM_SYNCBUSY_ENABLE_Pos',['../component_2freqm_8h.html#a470279b12e764e5d1bf66fae613e87bb',1,'freqm.h']]],
['freqm_5fsyncbusy_5fmask_21925',['FREQM_SYNCBUSY_MASK',['../component_2freqm_8h.html#a82a1747c20d325fa4cd125e7130e99cd',1,'freqm.h']]],
['freqm_5fsyncbusy_5foffset_21926',['FREQM_SYNCBUSY_OFFSET',['../component_2freqm_8h.html#a5cb7477e6e83f8b6a50d38efc516030b',1,'freqm.h']]],
['freqm_5fsyncbusy_5fresetvalue_21927',['FREQM_SYNCBUSY_RESETVALUE',['../component_2freqm_8h.html#af1bb6a6e94796c96bb6e082d30786b2d',1,'freqm.h']]],
['freqm_5fsyncbusy_5fswrst_5fpos_21928',['FREQM_SYNCBUSY_SWRST_Pos',['../component_2freqm_8h.html#afa5d4860d253f6e57ec3854826e506de',1,'freqm.h']]],
['freqm_5fvalue_5fmask_21929',['FREQM_VALUE_MASK',['../component_2freqm_8h.html#a129fbc326338dee08cf86f78259703de',1,'freqm.h']]],
['freqm_5fvalue_5foffset_21930',['FREQM_VALUE_OFFSET',['../component_2freqm_8h.html#a667b866874d1a6766ecff28635ba6616',1,'freqm.h']]],
['freqm_5fvalue_5fresetvalue_21931',['FREQM_VALUE_RESETVALUE',['../component_2freqm_8h.html#a7e701385f3015d5c8ed4e54b2641f33d',1,'freqm.h']]],
['freqm_5fvalue_5fvalue_5fpos_21932',['FREQM_VALUE_VALUE_Pos',['../component_2freqm_8h.html#ad6aa2e8dfd43141479ecae0eb156d610',1,'freqm.h']]],
['fuses_5fbod33_5faction_5fpos_21933',['FUSES_BOD33_ACTION_Pos',['../component_2nvmctrl_8h.html#aac742323e1fa3072afa8ce20817c58f3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fdis_5fpos_21934',['FUSES_BOD33_DIS_Pos',['../component_2nvmctrl_8h.html#a72a0920038e8fd5892ae9e4d91ae0ac3',1,'nvmctrl.h']]],
['fuses_5fbod33_5fhyst_5fpos_21935',['FUSES_BOD33_HYST_Pos',['../component_2nvmctrl_8h.html#a5ab9b1d814cf783918e8b12a908295ef',1,'nvmctrl.h']]],
['fuses_5fbod33userlevel_5fpos_21936',['FUSES_BOD33USERLEVEL_Pos',['../component_2nvmctrl_8h.html#a9e8109cd0996312419933645a2f2a089',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fctat_5fpos_21937',['FUSES_HOT_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#abb81d457f6232e78ff61d0e80f925706',1,'nvmctrl.h']]],
['fuses_5fhot_5fadc_5fval_5fptat_5fpos_21938',['FUSES_HOT_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#a1101fa251c80578d13dd58fb49ba1a47',1,'nvmctrl.h']]],
['fuses_5fhot_5fint1v_5fval_5fpos_21939',['FUSES_HOT_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#a8b14f5897dd4c958cde93e08ee14ae2a',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fdec_5fpos_21940',['FUSES_HOT_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#afc1b6bd9fd9cdb5f0a1e89d14bb6f100',1,'nvmctrl.h']]],
['fuses_5fhot_5ftemp_5fval_5fint_5fpos_21941',['FUSES_HOT_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a3c7e8d3e46d03e0b6424b34762295643',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fctat_5fpos_21942',['FUSES_ROOM_ADC_VAL_CTAT_Pos',['../component_2nvmctrl_8h.html#a27ddb7402e2867d17c8892a802136fd7',1,'nvmctrl.h']]],
['fuses_5froom_5fadc_5fval_5fptat_5fpos_21943',['FUSES_ROOM_ADC_VAL_PTAT_Pos',['../component_2nvmctrl_8h.html#aefb2f458ba54047a124fdbe10212791e',1,'nvmctrl.h']]],
['fuses_5froom_5fint1v_5fval_5fpos_21944',['FUSES_ROOM_INT1V_VAL_Pos',['../component_2nvmctrl_8h.html#aac3dee19f6ada7e3e76edabd527b521d',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fdec_5fpos_21945',['FUSES_ROOM_TEMP_VAL_DEC_Pos',['../component_2nvmctrl_8h.html#aac2a02c5ab8d7fd6e6be94f6657558a6',1,'nvmctrl.h']]],
['fuses_5froom_5ftemp_5fval_5fint_5fpos_21946',['FUSES_ROOM_TEMP_VAL_INT_Pos',['../component_2nvmctrl_8h.html#a614f00b6390a26716abbcbbd6e7d7b7d',1,'nvmctrl.h']]]
];

File diff suppressed because it is too large Load Diff

@ -1,22 +1,22 @@
var searchData=
[
['hmatrix_22528',['HMATRIX',['../same54n19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p20a.h']]],
['hmatrixb_5finst_5fnum_22529',['HMATRIXB_INST_NUM',['../same54n19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p20a.h']]],
['hmatrixb_5finsts_22530',['HMATRIXB_INSTS',['../same54n19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p20a.h']]],
['hmatrixb_5fpras_5fmask_22531',['HMATRIXB_PRAS_MASK',['../hmatrixb_8h.html#a0b91fa322894f20c4280a0b9e76c9359',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5foffset_22532',['HMATRIXB_PRAS_OFFSET',['../hmatrixb_8h.html#ada8f35a5ebe92757fe031cde38a7761c',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5fresetvalue_22533',['HMATRIXB_PRAS_RESETVALUE',['../hmatrixb_8h.html#a2bdb9b1fece4ef8d1a1b1b4e87573191',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fmask_22534',['HMATRIXB_PRBS_MASK',['../hmatrixb_8h.html#a55be008834b446b516397e7a279be596',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5foffset_22535',['HMATRIXB_PRBS_OFFSET',['../hmatrixb_8h.html#a6885cd77fd1330b5c5d63f0fd50221be',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fresetvalue_22536',['HMATRIXB_PRBS_RESETVALUE',['../hmatrixb_8h.html#a27bcb999749a62644f8eb6ec5f2503d7',1,'hmatrixb.h']]],
['hpb0_5faddr_22537',['HPB0_ADDR',['../same54n19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p20a.h']]],
['hpb1_5faddr_22538',['HPB1_ADDR',['../same54n19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p20a.h']]],
['hpb2_5faddr_22539',['HPB2_ADDR',['../same54n19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p20a.h']]],
['hpb3_5faddr_22540',['HPB3_ADDR',['../same54n19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p20a.h']]],
['hsram_5faddr_22541',['HSRAM_ADDR',['../same54n19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5faddr_22542',['HSRAM_ETB_ADDR',['../same54n19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5fsize_22543',['HSRAM_ETB_SIZE',['../same54n19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p20a.h']]],
['hsram_5fret1_5faddr_22544',['HSRAM_RET1_ADDR',['../same54n19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p20a.h']]],
['hsram_5fret1_5fsize_22545',['HSRAM_RET1_SIZE',['../same54n19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p20a.h']]],
['hsram_5fsize_22546',['HSRAM_SIZE',['../same54n19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p20a.h']]]
['hmatrix_22598',['HMATRIX',['../same54n19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a4ba7f5dc0f94e9a6c7cf24b0df497b15',1,'HMATRIX():&#160;same54p20a.h']]],
['hmatrixb_5finst_5fnum_22599',['HMATRIXB_INST_NUM',['../same54n19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#acdd3c27438c6bf468cf3e4cc2cbe2798',1,'HMATRIXB_INST_NUM():&#160;same54p20a.h']]],
['hmatrixb_5finsts_22600',['HMATRIXB_INSTS',['../same54n19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a3f70e157160178c48c7f7c1aafb3151d',1,'HMATRIXB_INSTS():&#160;same54p20a.h']]],
['hmatrixb_5fpras_5fmask_22601',['HMATRIXB_PRAS_MASK',['../hmatrixb_8h.html#a0b91fa322894f20c4280a0b9e76c9359',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5foffset_22602',['HMATRIXB_PRAS_OFFSET',['../hmatrixb_8h.html#ada8f35a5ebe92757fe031cde38a7761c',1,'hmatrixb.h']]],
['hmatrixb_5fpras_5fresetvalue_22603',['HMATRIXB_PRAS_RESETVALUE',['../hmatrixb_8h.html#a2bdb9b1fece4ef8d1a1b1b4e87573191',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fmask_22604',['HMATRIXB_PRBS_MASK',['../hmatrixb_8h.html#a55be008834b446b516397e7a279be596',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5foffset_22605',['HMATRIXB_PRBS_OFFSET',['../hmatrixb_8h.html#a6885cd77fd1330b5c5d63f0fd50221be',1,'hmatrixb.h']]],
['hmatrixb_5fprbs_5fresetvalue_22606',['HMATRIXB_PRBS_RESETVALUE',['../hmatrixb_8h.html#a27bcb999749a62644f8eb6ec5f2503d7',1,'hmatrixb.h']]],
['hpb0_5faddr_22607',['HPB0_ADDR',['../same54n19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aa3dc0741f009f571f4a45086601718f6',1,'HPB0_ADDR():&#160;same54p20a.h']]],
['hpb1_5faddr_22608',['HPB1_ADDR',['../same54n19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#abdae009c8fef488e4248183ffa937535',1,'HPB1_ADDR():&#160;same54p20a.h']]],
['hpb2_5faddr_22609',['HPB2_ADDR',['../same54n19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#aef42fa53ddf169a2a50be70d29f73571',1,'HPB2_ADDR():&#160;same54p20a.h']]],
['hpb3_5faddr_22610',['HPB3_ADDR',['../same54n19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#af04cc27901ff4e0551572de8a92be273',1,'HPB3_ADDR():&#160;same54p20a.h']]],
['hsram_5faddr_22611',['HSRAM_ADDR',['../same54n19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a4af52d124825da5da360f11f45660723',1,'HSRAM_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5faddr_22612',['HSRAM_ETB_ADDR',['../same54n19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#a8f4d64691a947710915970fb43be77df',1,'HSRAM_ETB_ADDR():&#160;same54p20a.h']]],
['hsram_5fetb_5fsize_22613',['HSRAM_ETB_SIZE',['../same54n19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a78cbc315410ba855e9d2b9978047d307',1,'HSRAM_ETB_SIZE():&#160;same54p20a.h']]],
['hsram_5fret1_5faddr_22614',['HSRAM_RET1_ADDR',['../same54n19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n19a.h'],['../same54n20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54n20a.h'],['../same54p19a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p19a.h'],['../same54p20a_8h.html#afa4157c1f22758771bc05feeb1a7fd84',1,'HSRAM_RET1_ADDR():&#160;same54p20a.h']]],
['hsram_5fret1_5fsize_22615',['HSRAM_RET1_SIZE',['../same54n19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#ae6d719467102a08d8b9319af082193c4',1,'HSRAM_RET1_SIZE():&#160;same54p20a.h']]],
['hsram_5fsize_22616',['HSRAM_SIZE',['../same54n19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n19a.h'],['../same54n20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54n20a.h'],['../same54p19a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p19a.h'],['../same54p20a_8h.html#a040f18fbf6c4bc15bb219c5d0f366bbe',1,'HSRAM_SIZE():&#160;same54p20a.h']]]
];

@ -1,406 +1,406 @@
var searchData=
[
['i2s_22547',['I2S',['../same54n19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54n19a.h'],['../same54n20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54n20a.h'],['../same54p19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54p19a.h'],['../same54p20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54p20a.h']]],
['i2s_5fclkctrl_5fbitdelay_5fi2s_5fval_22548',['I2S_CLKCTRL_BITDELAY_I2S_Val',['../component_2i2s_8h.html#afcd06500145915a75264200ecd94b82c',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5flj_5fval_22549',['I2S_CLKCTRL_BITDELAY_LJ_Val',['../component_2i2s_8h.html#a8f7fa76cbdfa92a9d34cb21798f42e05',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5fpos_22550',['I2S_CLKCTRL_BITDELAY_Pos',['../component_2i2s_8h.html#a49490bb9926136b0a51f72cf1cb06d0b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsinv_5fpos_22551',['I2S_CLKCTRL_FSINV_Pos',['../component_2i2s_8h.html#a29373d39bfacc2e611c7529033904695',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsoutinv_5fpos_22552',['I2S_CLKCTRL_FSOUTINV_Pos',['../component_2i2s_8h.html#acaf6b659b41ebeb68a953853623fe32f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5ffspin_5fval_22553',['I2S_CLKCTRL_FSSEL_FSPIN_Val',['../component_2i2s_8h.html#a705fcc1a6a527511c4840dddc95a2008',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fpos_22554',['I2S_CLKCTRL_FSSEL_Pos',['../component_2i2s_8h.html#aa51b72506368a9cf76123c0309c2058f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fsckdiv_5fval_22555',['I2S_CLKCTRL_FSSEL_SCKDIV_Val',['../component_2i2s_8h.html#a39d58817b2ebcbad9625f2b5aeadc335',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fbit_5fval_22556',['I2S_CLKCTRL_FSWIDTH_BIT_Val',['../component_2i2s_8h.html#a8e586922105865e834930dfc922c1671',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fburst_5fval_22557',['I2S_CLKCTRL_FSWIDTH_BURST_Val',['../component_2i2s_8h.html#ad8a9cb5d05e25833a45f099860ac162e',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fhalf_5fval_22558',['I2S_CLKCTRL_FSWIDTH_HALF_Val',['../component_2i2s_8h.html#a74f044f2df267ca85a29fea55b94cd7b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fpos_22559',['I2S_CLKCTRL_FSWIDTH_Pos',['../component_2i2s_8h.html#a68fd7a78e998d738a74bf6a56a47a082',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fslot_5fval_22560',['I2S_CLKCTRL_FSWIDTH_SLOT_Val',['../component_2i2s_8h.html#a95aeb939939817f4a06c2b7fad0baf11',1,'i2s.h']]],
['i2s_5fclkctrl_5fmask_22561',['I2S_CLKCTRL_MASK',['../component_2i2s_8h.html#a8226b438dcf86a1ccb34b1a34ee20851',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckdiv_5fpos_22562',['I2S_CLKCTRL_MCKDIV_Pos',['../component_2i2s_8h.html#ad3726a482440530335068151b6dc027d',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcken_5fpos_22563',['I2S_CLKCTRL_MCKEN_Pos',['../component_2i2s_8h.html#aacb10d0c0efa62757e4ad2835e34b7ea',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutdiv_5fpos_22564',['I2S_CLKCTRL_MCKOUTDIV_Pos',['../component_2i2s_8h.html#afebe81d51492d496f010c589066b6480',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutinv_5fpos_22565',['I2S_CLKCTRL_MCKOUTINV_Pos',['../component_2i2s_8h.html#a4fc0a23600a4d7c260a70a0e72aefb3e',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fgclk_5fval_22566',['I2S_CLKCTRL_MCKSEL_GCLK_Val',['../component_2i2s_8h.html#ad99be42bcb1d8ee04c4f99b4e64612cd',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fmckpin_5fval_22567',['I2S_CLKCTRL_MCKSEL_MCKPIN_Val',['../component_2i2s_8h.html#a628c7be58d58c99048e2c6fe700924ff',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fpos_22568',['I2S_CLKCTRL_MCKSEL_Pos',['../component_2i2s_8h.html#a4767c420ba0c4a22f2cfd89f1bd64533',1,'i2s.h']]],
['i2s_5fclkctrl_5fnbslots_5fpos_22569',['I2S_CLKCTRL_NBSLOTS_Pos',['../component_2i2s_8h.html#ae01bc499f135be63219ee2c6444c05b3',1,'i2s.h']]],
['i2s_5fclkctrl_5foffset_22570',['I2S_CLKCTRL_OFFSET',['../component_2i2s_8h.html#ac9120317bb8722d15b6abd2964cc6b66',1,'i2s.h']]],
['i2s_5fclkctrl_5fresetvalue_22571',['I2S_CLKCTRL_RESETVALUE',['../component_2i2s_8h.html#a34cc8d707a5387d2ff9232ba0b30f95e',1,'i2s.h']]],
['i2s_5fclkctrl_5fsckoutinv_5fpos_22572',['I2S_CLKCTRL_SCKOUTINV_Pos',['../component_2i2s_8h.html#a74c1d5849cebe8fb0e16e16251e8f60d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fmckdiv_5fval_22573',['I2S_CLKCTRL_SCKSEL_MCKDIV_Val',['../component_2i2s_8h.html#a4fcee5b58df1d5d62935da463555752d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fpos_22574',['I2S_CLKCTRL_SCKSEL_Pos',['../component_2i2s_8h.html#a51d7bf9feb1a438c178c07077a84d6ec',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fsckpin_5fval_22575',['I2S_CLKCTRL_SCKSEL_SCKPIN_Val',['../component_2i2s_8h.html#af4b508014f15cb047bddbb681228668f',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f16_5fval_22576',['I2S_CLKCTRL_SLOTSIZE_16_Val',['../component_2i2s_8h.html#a4caa59c4008c74b3ee0a8be8dd02183b',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f24_5fval_22577',['I2S_CLKCTRL_SLOTSIZE_24_Val',['../component_2i2s_8h.html#abbc9d2ad05bbc8e24cdc97dcc5ffdd04',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f32_5fval_22578',['I2S_CLKCTRL_SLOTSIZE_32_Val',['../component_2i2s_8h.html#aaee0ee592d4468e2abf681def2ad82b7',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f8_5fval_22579',['I2S_CLKCTRL_SLOTSIZE_8_Val',['../component_2i2s_8h.html#a8bdf35936a6d3d62de0c94cde31b1c94',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5fpos_22580',['I2S_CLKCTRL_SLOTSIZE_Pos',['../component_2i2s_8h.html#a3790543c148a2adda023e411fd6595ad',1,'i2s.h']]],
['i2s_5fctrla_5fcken0_5fpos_22581',['I2S_CTRLA_CKEN0_Pos',['../component_2i2s_8h.html#a7d77c4b6486ab5045b81fc091b9e338d',1,'i2s.h']]],
['i2s_5fctrla_5fcken1_5fpos_22582',['I2S_CTRLA_CKEN1_Pos',['../component_2i2s_8h.html#a8e21e63a40f88a9e5ec0542ff8df23c0',1,'i2s.h']]],
['i2s_5fctrla_5fcken_5fpos_22583',['I2S_CTRLA_CKEN_Pos',['../component_2i2s_8h.html#a8c61333786563ab2ed03bfb976fc4e74',1,'i2s.h']]],
['i2s_5fctrla_5fenable_5fpos_22584',['I2S_CTRLA_ENABLE_Pos',['../component_2i2s_8h.html#a3eca624a3bbc9c500de9624a3c816399',1,'i2s.h']]],
['i2s_5fctrla_5fmask_22585',['I2S_CTRLA_MASK',['../component_2i2s_8h.html#a89739cb190180a69697f3ece0470b780',1,'i2s.h']]],
['i2s_5fctrla_5foffset_22586',['I2S_CTRLA_OFFSET',['../component_2i2s_8h.html#a6d620cfa3bc2af7bdbbbae9252f5b567',1,'i2s.h']]],
['i2s_5fctrla_5fresetvalue_22587',['I2S_CTRLA_RESETVALUE',['../component_2i2s_8h.html#ac55724eab173039ae6acf553ed92c693',1,'i2s.h']]],
['i2s_5fctrla_5frxen_5fpos_22588',['I2S_CTRLA_RXEN_Pos',['../component_2i2s_8h.html#a06fcfeab9e39e7492298e3a4a3e58950',1,'i2s.h']]],
['i2s_5fctrla_5fswrst_5fpos_22589',['I2S_CTRLA_SWRST_Pos',['../component_2i2s_8h.html#a397b6ac745baf60099bd267adf4143a8',1,'i2s.h']]],
['i2s_5fctrla_5ftxen_5fpos_22590',['I2S_CTRLA_TXEN_Pos',['../component_2i2s_8h.html#a501e61ab1ba7bb3b2bac7373ff80bd5e',1,'i2s.h']]],
['i2s_5finst_5fnum_22591',['I2S_INST_NUM',['../same54n19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54p20a.h']]],
['i2s_5finsts_22592',['I2S_INSTS',['../same54n19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54p20a.h']]],
['i2s_5fintenclr_5fmask_22593',['I2S_INTENCLR_MASK',['../component_2i2s_8h.html#a7511aeab3570168deef863a0945ff013',1,'i2s.h']]],
['i2s_5fintenclr_5foffset_22594',['I2S_INTENCLR_OFFSET',['../component_2i2s_8h.html#abd070bc8ffee600ddb258303c5ff7e6c',1,'i2s.h']]],
['i2s_5fintenclr_5fresetvalue_22595',['I2S_INTENCLR_RESETVALUE',['../component_2i2s_8h.html#a2bc24ab6ab10ee3fcad4fb0678e122f4',1,'i2s.h']]],
['i2s_5fintenclr_5frxor0_5fpos_22596',['I2S_INTENCLR_RXOR0_Pos',['../component_2i2s_8h.html#ae7b60215ac9e256b4660e710f2a20a15',1,'i2s.h']]],
['i2s_5fintenclr_5frxor1_5fpos_22597',['I2S_INTENCLR_RXOR1_Pos',['../component_2i2s_8h.html#a3ac2f9b1f31d415d4e071d8af0074b06',1,'i2s.h']]],
['i2s_5fintenclr_5frxor_5fpos_22598',['I2S_INTENCLR_RXOR_Pos',['../component_2i2s_8h.html#afed2283ebdd42b5c187abf28e6029f0c',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy0_5fpos_22599',['I2S_INTENCLR_RXRDY0_Pos',['../component_2i2s_8h.html#a15e508b4c8f7428f2ff99616060bc6ee',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy1_5fpos_22600',['I2S_INTENCLR_RXRDY1_Pos',['../component_2i2s_8h.html#ab9fb50d874f6597bfa69abb73fbf2862',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy_5fpos_22601',['I2S_INTENCLR_RXRDY_Pos',['../component_2i2s_8h.html#a04eb812f8b42d1d690ba5420fc6b242b',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy0_5fpos_22602',['I2S_INTENCLR_TXRDY0_Pos',['../component_2i2s_8h.html#a2ec1727e262443ba522900c51416e3c6',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy1_5fpos_22603',['I2S_INTENCLR_TXRDY1_Pos',['../component_2i2s_8h.html#ad9aeb6db3a8f09c6c6d586b64a98e4c3',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy_5fpos_22604',['I2S_INTENCLR_TXRDY_Pos',['../component_2i2s_8h.html#afc89b68c83bd2907f5c7a91cd36a667f',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur0_5fpos_22605',['I2S_INTENCLR_TXUR0_Pos',['../component_2i2s_8h.html#a40a52fbc12775b89db0e5e917beaba8d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur1_5fpos_22606',['I2S_INTENCLR_TXUR1_Pos',['../component_2i2s_8h.html#a8e6e57c754c211623784759da161c01d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur_5fpos_22607',['I2S_INTENCLR_TXUR_Pos',['../component_2i2s_8h.html#a3532309a5035b5752b503639978a9e62',1,'i2s.h']]],
['i2s_5fintenset_5fmask_22608',['I2S_INTENSET_MASK',['../component_2i2s_8h.html#a69068c25175bd3bb6b3cd8dd5b48a933',1,'i2s.h']]],
['i2s_5fintenset_5foffset_22609',['I2S_INTENSET_OFFSET',['../component_2i2s_8h.html#a4f7799200879d836b56557cc501fc86c',1,'i2s.h']]],
['i2s_5fintenset_5fresetvalue_22610',['I2S_INTENSET_RESETVALUE',['../component_2i2s_8h.html#af0d24a1632b21dc544a62f9ba89478e3',1,'i2s.h']]],
['i2s_5fintenset_5frxor0_5fpos_22611',['I2S_INTENSET_RXOR0_Pos',['../component_2i2s_8h.html#a329b94c3981eea317584e01a84bbc23b',1,'i2s.h']]],
['i2s_5fintenset_5frxor1_5fpos_22612',['I2S_INTENSET_RXOR1_Pos',['../component_2i2s_8h.html#aa80578c073e672c92eb123cda1edd527',1,'i2s.h']]],
['i2s_5fintenset_5frxor_5fpos_22613',['I2S_INTENSET_RXOR_Pos',['../component_2i2s_8h.html#a85f713671974c54bdf903cbc6767f5d0',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy0_5fpos_22614',['I2S_INTENSET_RXRDY0_Pos',['../component_2i2s_8h.html#a20017e8afeaed03d067cdab28118f120',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy1_5fpos_22615',['I2S_INTENSET_RXRDY1_Pos',['../component_2i2s_8h.html#a9f5ba1356e9c6c4cc5a89174ea9c9544',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy_5fpos_22616',['I2S_INTENSET_RXRDY_Pos',['../component_2i2s_8h.html#a6a83119896f3ef19f62b84b85047291b',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy0_5fpos_22617',['I2S_INTENSET_TXRDY0_Pos',['../component_2i2s_8h.html#a6e9a7c3dba406e01057e4923c0df405f',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy1_5fpos_22618',['I2S_INTENSET_TXRDY1_Pos',['../component_2i2s_8h.html#a825c2ed15a9153b24d8a83533b9cca35',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy_5fpos_22619',['I2S_INTENSET_TXRDY_Pos',['../component_2i2s_8h.html#a4f5152f72ea4c8ccf037b78380108771',1,'i2s.h']]],
['i2s_5fintenset_5ftxur0_5fpos_22620',['I2S_INTENSET_TXUR0_Pos',['../component_2i2s_8h.html#ae7a70a245f4559dc2e882e5b5e40c24e',1,'i2s.h']]],
['i2s_5fintenset_5ftxur1_5fpos_22621',['I2S_INTENSET_TXUR1_Pos',['../component_2i2s_8h.html#a97d5fc3092599da0d0950d4cbdf8ec66',1,'i2s.h']]],
['i2s_5fintenset_5ftxur_5fpos_22622',['I2S_INTENSET_TXUR_Pos',['../component_2i2s_8h.html#a0b01a5e36ed19ab2e98e0685a96d1e04',1,'i2s.h']]],
['i2s_5fintflag_5fmask_22623',['I2S_INTFLAG_MASK',['../component_2i2s_8h.html#aa253de8b7b161df5481550f7ff9d2039',1,'i2s.h']]],
['i2s_5fintflag_5foffset_22624',['I2S_INTFLAG_OFFSET',['../component_2i2s_8h.html#ac8ef4f6d3231d368efdb2135b13146d8',1,'i2s.h']]],
['i2s_5fintflag_5fresetvalue_22625',['I2S_INTFLAG_RESETVALUE',['../component_2i2s_8h.html#a683106ff6b1673adc53e28a118d2c6b5',1,'i2s.h']]],
['i2s_5fintflag_5frxor0_5fpos_22626',['I2S_INTFLAG_RXOR0_Pos',['../component_2i2s_8h.html#aea01a5af5d62e72433ff5a4576fb2a5a',1,'i2s.h']]],
['i2s_5fintflag_5frxor1_5fpos_22627',['I2S_INTFLAG_RXOR1_Pos',['../component_2i2s_8h.html#a187192cb3469dd749d34479792551617',1,'i2s.h']]],
['i2s_5fintflag_5frxor_5fpos_22628',['I2S_INTFLAG_RXOR_Pos',['../component_2i2s_8h.html#a72a2ee346cab69a89d41a362304e67a8',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy0_5fpos_22629',['I2S_INTFLAG_RXRDY0_Pos',['../component_2i2s_8h.html#a1eaa330e64ae98b22bd94d4820ef25bd',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy1_5fpos_22630',['I2S_INTFLAG_RXRDY1_Pos',['../component_2i2s_8h.html#a3872451a6ff3dac098d309ac91a07224',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy_5fpos_22631',['I2S_INTFLAG_RXRDY_Pos',['../component_2i2s_8h.html#af35339d81893f2eb7074bda7576ed732',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy0_5fpos_22632',['I2S_INTFLAG_TXRDY0_Pos',['../component_2i2s_8h.html#ab8cb5231618dcbf1dc0755bef513fcbb',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy1_5fpos_22633',['I2S_INTFLAG_TXRDY1_Pos',['../component_2i2s_8h.html#aa6d976afb12a4186f3801d42d7f3eaf2',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy_5fpos_22634',['I2S_INTFLAG_TXRDY_Pos',['../component_2i2s_8h.html#a4401102e0bff61291957c9418e23a1b8',1,'i2s.h']]],
['i2s_5fintflag_5ftxur0_5fpos_22635',['I2S_INTFLAG_TXUR0_Pos',['../component_2i2s_8h.html#a5f0b5fd326750e4e70210add0dd2dce7',1,'i2s.h']]],
['i2s_5fintflag_5ftxur1_5fpos_22636',['I2S_INTFLAG_TXUR1_Pos',['../component_2i2s_8h.html#a5b34bc919a96b16a6b6cf8fcd7d1c657',1,'i2s.h']]],
['i2s_5fintflag_5ftxur_5fpos_22637',['I2S_INTFLAG_TXUR_Pos',['../component_2i2s_8h.html#a8f19374ab8dd41bf7c35c129c1389fa7',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5flsbit_5fval_22638',['I2S_RXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a4189215c57c5f54690a43a016562e256',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fmsbit_5fval_22639',['I2S_RXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#a328d2ab97927812391d0c5d42fdf47bd',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fpos_22640',['I2S_RXCTRL_BITREV_Pos',['../component_2i2s_8h.html#a483344f9eb7d762425531749ca656065',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk0_5fval_22641',['I2S_RXCTRL_CLKSEL_CLK0_Val',['../component_2i2s_8h.html#a1bf5e6a62ea3c9152ccded8930946871',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk1_5fval_22642',['I2S_RXCTRL_CLKSEL_CLK1_Val',['../component_2i2s_8h.html#ab5566340ff2baa8b4144fad7ef03d699',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fpos_22643',['I2S_RXCTRL_CLKSEL_Pos',['../component_2i2s_8h.html#a651115fd712c9d92b2afa088a6ea9ba4',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16_5fval_22644',['I2S_RXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a47e69a45e045535406ad55e11ad5d71c',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16c_5fval_22645',['I2S_RXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a4e55a2002f9093bb01be018bbd7459ac',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f18_5fval_22646',['I2S_RXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a9aa9c80f04de2464087be188b988481d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f20_5fval_22647',['I2S_RXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#afdb0227564c5d171483a812ba25a9b5a',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f24_5fval_22648',['I2S_RXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a10c8a4e2f20063d37fa2d24027718829',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f32_5fval_22649',['I2S_RXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a382da5627a63d7a6c98674de4a5ba03d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8_5fval_22650',['I2S_RXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a6ab4f649f427ba70829ead258480e3f2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8c_5fval_22651',['I2S_RXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a64ebb00aef17da0a3250559554e51fd2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5fpos_22652',['I2S_RXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#ab02b820857f008f6dce1a3b2aca8abdf',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fmultiple_5fval_22653',['I2S_RXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a5822f4572a509a97827ed13a7033201b',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fpos_22654',['I2S_RXCTRL_DMA_Pos',['../component_2i2s_8h.html#a5a300a1a8820ef8c25efb483c5e55bd6',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fsingle_5fval_22655',['I2S_RXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a120be82e1fceec25d7a921abd34f61bc',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5flsbit_5fval_22656',['I2S_RXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#acca345974d2174e3c4545622ea6d76da',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fmsbit_5fval_22657',['I2S_RXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a9609b632d91bb077c22d232fa15e7e9e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fone_5fval_22658',['I2S_RXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#abfafaba50aaa222fd4e4e0e917bf1f4e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fpos_22659',['I2S_RXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a57b4866cd968ac5f4c10d28a70b2fef6',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fzero_5fval_22660',['I2S_RXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a8d87ac641c726722fa04c7dbe206968d',1,'i2s.h']]],
['i2s_5frxctrl_5fmask_22661',['I2S_RXCTRL_MASK',['../component_2i2s_8h.html#a6d8503b0dc93eb0901b6dc1618ffc716',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fmono_5fval_22662',['I2S_RXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#adeb03f3d85e233df41c7bf9dc1815efd',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fpos_22663',['I2S_RXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac84304637c46e1c504eab48099e2a5d5',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fstereo_5fval_22664',['I2S_RXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a57b383a9544d35949f9c541d14f9f0cd',1,'i2s.h']]],
['i2s_5frxctrl_5foffset_22665',['I2S_RXCTRL_OFFSET',['../component_2i2s_8h.html#a20ef159c381b0d3f9bdcb88e22dac048',1,'i2s.h']]],
['i2s_5frxctrl_5fresetvalue_22666',['I2S_RXCTRL_RESETVALUE',['../component_2i2s_8h.html#a1d4fd8bc89985b67f1c3e567d8e235f0',1,'i2s.h']]],
['i2s_5frxctrl_5frxloop_5fpos_22667',['I2S_RXCTRL_RXLOOP_Pos',['../component_2i2s_8h.html#a7efe036f26ad1909176af6ebc151a430',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpdm2_5fval_22668',['I2S_RXCTRL_SERMODE_PDM2_Val',['../component_2i2s_8h.html#a6f10754a9b32ad10f3cb4d7483e2ca49',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpos_22669',['I2S_RXCTRL_SERMODE_Pos',['../component_2i2s_8h.html#a0e3aefa2716526f84eedd3de813c7470',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5frx_5fval_22670',['I2S_RXCTRL_SERMODE_RX_Val',['../component_2i2s_8h.html#a8b3f26e178ed08e633d945067b4a7249',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fleft_5fval_22671',['I2S_RXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#adb489860994fc1b15e98af9b5d043ab0',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fpos_22672',['I2S_RXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#abb4d28db80499ecd44a89f9719b78a65',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fright_5fval_22673',['I2S_RXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#a9062e73bee32d548de7e9f5d5d45a917',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis0_5fpos_22674',['I2S_RXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a1bea8cedd534e39f72a7856fcd4df913',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis1_5fpos_22675',['I2S_RXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#a33eb8ff4391f73c9c0a29c3999d8bc0c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis2_5fpos_22676',['I2S_RXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a96d36b7da56a1dc773afbe44b17ee4d5',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis3_5fpos_22677',['I2S_RXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#a4bf54a466964d32665c1e561a62b682c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis4_5fpos_22678',['I2S_RXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#a3b7cfb3be624e94a4a9fbbeb4cf6ae6c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis5_5fpos_22679',['I2S_RXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a0cc263101bbc164ee3073843c512b799',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis6_5fpos_22680',['I2S_RXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#ad8c4ff976e4aef052e9c504ba2fe9eb6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis7_5fpos_22681',['I2S_RXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#ae2ed8f19af21a758099dc050e97099b6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis_5fpos_22682',['I2S_RXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a8ea445e37a78507cae01076d7583ec8b',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fleft_5fval_22683',['I2S_RXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#a59746517d26d97df6ad8e3b6317bd2ad',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fpos_22684',['I2S_RXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#a40c17f1a66744acba8807f3655deca3f',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fright_5fval_22685',['I2S_RXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#a0ef32bad8115a443570b008e0a89a77a',1,'i2s.h']]],
['i2s_5frxdata_5fdata_5fpos_22686',['I2S_RXDATA_DATA_Pos',['../component_2i2s_8h.html#a24e58dacf0ef2e194c53418b1d3949cc',1,'i2s.h']]],
['i2s_5frxdata_5fmask_22687',['I2S_RXDATA_MASK',['../component_2i2s_8h.html#a0cbe951f14e6321ea6adfe5cbf1c3a18',1,'i2s.h']]],
['i2s_5frxdata_5foffset_22688',['I2S_RXDATA_OFFSET',['../component_2i2s_8h.html#a86a01925f507e5d8cfaf8d2dbd95aca5',1,'i2s.h']]],
['i2s_5frxdata_5fresetvalue_22689',['I2S_RXDATA_RESETVALUE',['../component_2i2s_8h.html#abed90c12d2497812fff0fba07c6d2823',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken0_5fpos_22690',['I2S_SYNCBUSY_CKEN0_Pos',['../component_2i2s_8h.html#aad9165400f5446588625f6c8f431ea03',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken1_5fpos_22691',['I2S_SYNCBUSY_CKEN1_Pos',['../component_2i2s_8h.html#ade7d604973323633d18920cbc18ad843',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken_5fpos_22692',['I2S_SYNCBUSY_CKEN_Pos',['../component_2i2s_8h.html#a923903cb1b27cc2aef70f3cb8417f5fb',1,'i2s.h']]],
['i2s_5fsyncbusy_5fenable_5fpos_22693',['I2S_SYNCBUSY_ENABLE_Pos',['../component_2i2s_8h.html#a783d665b2de37a5eab0a52f8c7ff3d2d',1,'i2s.h']]],
['i2s_5fsyncbusy_5fmask_22694',['I2S_SYNCBUSY_MASK',['../component_2i2s_8h.html#a662c1a0e01af60d4d9bcd3974cbea0c8',1,'i2s.h']]],
['i2s_5fsyncbusy_5foffset_22695',['I2S_SYNCBUSY_OFFSET',['../component_2i2s_8h.html#a0cd04094390fbef4453649aee09aa9d4',1,'i2s.h']]],
['i2s_5fsyncbusy_5fresetvalue_22696',['I2S_SYNCBUSY_RESETVALUE',['../component_2i2s_8h.html#a6056c0d1259c0880975992ee251302eb',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxdata_5fpos_22697',['I2S_SYNCBUSY_RXDATA_Pos',['../component_2i2s_8h.html#ab8d696fef77572b1fec4333606cbd456',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxen_5fpos_22698',['I2S_SYNCBUSY_RXEN_Pos',['../component_2i2s_8h.html#a1b6cc3cec4808e223738c1fede7d8c89',1,'i2s.h']]],
['i2s_5fsyncbusy_5fswrst_5fpos_22699',['I2S_SYNCBUSY_SWRST_Pos',['../component_2i2s_8h.html#a0735d318b474ea6b9e13243f244300d7',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxdata_5fpos_22700',['I2S_SYNCBUSY_TXDATA_Pos',['../component_2i2s_8h.html#a684051dd31b98aba39a2b19f2bb434ac',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxen_5fpos_22701',['I2S_SYNCBUSY_TXEN_Pos',['../component_2i2s_8h.html#a5c5a708036d8cdf1223e5094eef9fe65',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5flsbit_5fval_22702',['I2S_TXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a349219787fd6652c900c28fc6c91431b',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fmsbit_5fval_22703',['I2S_TXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#af740bf2aac1cb1d02e41ff8c45f505d8',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fpos_22704',['I2S_TXCTRL_BITREV_Pos',['../component_2i2s_8h.html#ae1b975bebe4775f0477e68de4bd61c59',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16_5fval_22705',['I2S_TXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a1f8d8000e3d65bc9b0013ba93063ba04',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16c_5fval_22706',['I2S_TXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a18f6eada090015088f017e5d71b80445',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f18_5fval_22707',['I2S_TXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a91f0b3e7f8dff05a5489498a6e718029',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f20_5fval_22708',['I2S_TXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#a2198ddb85fd954f54de0b36e05e1fb86',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f24_5fval_22709',['I2S_TXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a21e35459fb472fc624e08cf933b72409',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f32_5fval_22710',['I2S_TXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a7b82c3e309628130ac1ff7b1090a11c6',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8_5fval_22711',['I2S_TXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a85a355f001735cad1c8ea4cd34df1484',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8c_5fval_22712',['I2S_TXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a855a9dc60589498c0ad6e12524b39997',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5fpos_22713',['I2S_TXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#a28c993de802c402e5792c940a7a1d467',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fmultiple_5fval_22714',['I2S_TXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a2be75d9e42c8f9c11c685455bb1c7420',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fpos_22715',['I2S_TXCTRL_DMA_Pos',['../component_2i2s_8h.html#a4244640d48bf5c939ac301c968669526',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fsingle_5fval_22716',['I2S_TXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a46dda93f82c55912a66658f122b43bcf',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5flsbit_5fval_22717',['I2S_TXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#a459c8299453f5ac1166fc16ba024b6ef',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fmsbit_5fval_22718',['I2S_TXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a43d0477c416edaf7c8facf7b61d62f74',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fone_5fval_22719',['I2S_TXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#a1f21ff99cc1e6fd0a764600b1471362c',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fpos_22720',['I2S_TXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a37b2fd7565e71d4177be7fb170dbd7cb',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fzero_5fval_22721',['I2S_TXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a89b72a65da6a321eb0fbcac9fc8cbdb7',1,'i2s.h']]],
['i2s_5ftxctrl_5fmask_22722',['I2S_TXCTRL_MASK',['../component_2i2s_8h.html#aec1e6da19a9a67218389ab7091287328',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fmono_5fval_22723',['I2S_TXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#abcc79cb421297fcc9d0129dd4558c0d6',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fpos_22724',['I2S_TXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac6cb93d5503a1e116a386f6f6ef55c49',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fstereo_5fval_22725',['I2S_TXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a71cdc71680ce9ff486d264e3d2ed8ded',1,'i2s.h']]],
['i2s_5ftxctrl_5foffset_22726',['I2S_TXCTRL_OFFSET',['../component_2i2s_8h.html#a2e34bcec02ecf6a95b16d232d03f82f1',1,'i2s.h']]],
['i2s_5ftxctrl_5fresetvalue_22727',['I2S_TXCTRL_RESETVALUE',['../component_2i2s_8h.html#a569f1702a598fdee2c10aabfa7ef510b',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fleft_5fval_22728',['I2S_TXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#a3b6b42c52ca38d5dbccb44477f774191',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fpos_22729',['I2S_TXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#a2dcef89c94502331d72c7be7d49a0715',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fright_5fval_22730',['I2S_TXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#af6c8aad73c238dacb355279b61a5a865',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis0_5fpos_22731',['I2S_TXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a5b37220966a55f4c97e447b5b5a4d5f5',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis1_5fpos_22732',['I2S_TXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#aebb22b299317c3b746d176b6b3c37a10',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis2_5fpos_22733',['I2S_TXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a926aa8edd873ca289a6266bdb3a6948d',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis3_5fpos_22734',['I2S_TXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#aa6b570d5fe4470934981ba98cdcf7131',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis4_5fpos_22735',['I2S_TXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#aad4cd0b91555f9e7fee10efcabf1b789',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis5_5fpos_22736',['I2S_TXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a7fa7b3f8c3a8b5f73a21e8e23ec10bac',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis6_5fpos_22737',['I2S_TXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#a33c62bb9e584f109e68e5d3f3c31bb5f',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis7_5fpos_22738',['I2S_TXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#a1ebbc3f080e98c930bc2c135d1790eeb',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis_5fpos_22739',['I2S_TXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a2bb7b4f5c569e1af3c107e942ee93f47',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fhiz_5fval_22740',['I2S_TXCTRL_TXDEFAULT_HIZ_Val',['../component_2i2s_8h.html#aa8f2f87914dcc6dd6b74ff20d9a39b28',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fone_5fval_22741',['I2S_TXCTRL_TXDEFAULT_ONE_Val',['../component_2i2s_8h.html#a6b44b19936325c1879d3bd75cbbc29d4',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fpos_22742',['I2S_TXCTRL_TXDEFAULT_Pos',['../component_2i2s_8h.html#a4ee81fab5c877046d73633a914329bec',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fzero_5fval_22743',['I2S_TXCTRL_TXDEFAULT_ZERO_Val',['../component_2i2s_8h.html#abf5713a735b7914ddc5f2ed65255e99a',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fpos_22744',['I2S_TXCTRL_TXSAME_Pos',['../component_2i2s_8h.html#ae7ee47675fb5e76abf6dbe14f7699155',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fsame_5fval_22745',['I2S_TXCTRL_TXSAME_SAME_Val',['../component_2i2s_8h.html#a56aa36e07182679fe675b2ccb45ef744',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fzero_5fval_22746',['I2S_TXCTRL_TXSAME_ZERO_Val',['../component_2i2s_8h.html#a8c9daea34ac95e8251a0c0d9c6f4fd3b',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fleft_5fval_22747',['I2S_TXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#aa50789c82a9bf9c026deb554b92cb193',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fpos_22748',['I2S_TXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#acf308742ff7a9389d9f995323f248991',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fright_5fval_22749',['I2S_TXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#aeda58124b748aa45852fa476b80e9154',1,'i2s.h']]],
['i2s_5ftxdata_5fdata_5fpos_22750',['I2S_TXDATA_DATA_Pos',['../component_2i2s_8h.html#afbc4a3c6619ccc1fc90e94e9ef78bb55',1,'i2s.h']]],
['i2s_5ftxdata_5fmask_22751',['I2S_TXDATA_MASK',['../component_2i2s_8h.html#a6f307db1494c5c7e1d21bb43ad8c756c',1,'i2s.h']]],
['i2s_5ftxdata_5foffset_22752',['I2S_TXDATA_OFFSET',['../component_2i2s_8h.html#ada12d7efed336775d229dfe14c65a307',1,'i2s.h']]],
['i2s_5ftxdata_5fresetvalue_22753',['I2S_TXDATA_RESETVALUE',['../component_2i2s_8h.html#ada26aa0aeee2b7e61667114cd23d9dff',1,'i2s.h']]],
['icm_22754',['ICM',['../same54n19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54n19a.h'],['../same54n20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54n20a.h'],['../same54p19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54p19a.h'],['../same54p20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54p20a.h']]],
['icm_5fcfg_5fascd_5fpos_22755',['ICM_CFG_ASCD_Pos',['../component_2icm_8h.html#ad29b54b75150e57bf7d1a111fac647ae',1,'icm.h']]],
['icm_5fcfg_5fbbc_5fpos_22756',['ICM_CFG_BBC_Pos',['../component_2icm_8h.html#a3cae93384d33560349acf73d4b22bf0e',1,'icm.h']]],
['icm_5fcfg_5fdaprot_5fpos_22757',['ICM_CFG_DAPROT_Pos',['../component_2icm_8h.html#a7ecb53908baace833e344d41f093a019',1,'icm.h']]],
['icm_5fcfg_5fdualbuff_5fpos_22758',['ICM_CFG_DUALBUFF_Pos',['../component_2icm_8h.html#ac52bba2dade55791c7f3f9e0d7798f11',1,'icm.h']]],
['icm_5fcfg_5feomdis_5fpos_22759',['ICM_CFG_EOMDIS_Pos',['../component_2icm_8h.html#a3abe16e9d1c06ff65f39451f750e12a0',1,'icm.h']]],
['icm_5fcfg_5fhaprot_5fpos_22760',['ICM_CFG_HAPROT_Pos',['../component_2icm_8h.html#a1ae2704bd4144e343bb74b38ac78b3ac',1,'icm.h']]],
['icm_5fcfg_5fmask_22761',['ICM_CFG_MASK',['../component_2icm_8h.html#aa7c7aef94098d37933d3b4749d85c273',1,'icm.h']]],
['icm_5fcfg_5foffset_22762',['ICM_CFG_OFFSET',['../component_2icm_8h.html#ac6866ddc4fb95c6777054e2680cceafa',1,'icm.h']]],
['icm_5fcfg_5fresetvalue_22763',['ICM_CFG_RESETVALUE',['../component_2icm_8h.html#a6c0be59e386996dd14bf1d7170bdc1f0',1,'icm.h']]],
['icm_5fcfg_5fslbdis_5fpos_22764',['ICM_CFG_SLBDIS_Pos',['../component_2icm_8h.html#ad16d77291ad1907e6c174b2cce9ee20b',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fpos_22765',['ICM_CFG_UALGO_Pos',['../component_2icm_8h.html#a871c0a962e1002722d833ddc094ee8ba',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha1_5fval_22766',['ICM_CFG_UALGO_SHA1_Val',['../component_2icm_8h.html#a4a55349d832a22cf10ef7086bcd5433a',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha224_5fval_22767',['ICM_CFG_UALGO_SHA224_Val',['../component_2icm_8h.html#aba949cdba674ffaabd49f6a0e82704b5',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha256_5fval_22768',['ICM_CFG_UALGO_SHA256_Val',['../component_2icm_8h.html#aa54e8f927cddf27b744d34b8fa0e8a55',1,'icm.h']]],
['icm_5fcfg_5fuihash_5fpos_22769',['ICM_CFG_UIHASH_Pos',['../component_2icm_8h.html#a6309ed3bb29f9216ea38f50f285b9fd6',1,'icm.h']]],
['icm_5fcfg_5fwbdis_5fpos_22770',['ICM_CFG_WBDIS_Pos',['../component_2icm_8h.html#a8fd396cef38fa3bbf24aa3cedba0b64e',1,'icm.h']]],
['icm_5fctrl_5fdisable_5fpos_22771',['ICM_CTRL_DISABLE_Pos',['../component_2icm_8h.html#a87556afcda260041c14059aff9ed6400',1,'icm.h']]],
['icm_5fctrl_5fenable_5fpos_22772',['ICM_CTRL_ENABLE_Pos',['../component_2icm_8h.html#a1bde4faecd154cb546720b6728f8a6a6',1,'icm.h']]],
['icm_5fctrl_5fmask_22773',['ICM_CTRL_MASK',['../component_2icm_8h.html#adbfb9318f932c01f8bd4a82a8223dc2f',1,'icm.h']]],
['icm_5fctrl_5foffset_22774',['ICM_CTRL_OFFSET',['../component_2icm_8h.html#a8b8caff6f133f4bd477f687e387528c8',1,'icm.h']]],
['icm_5fctrl_5frehash_5fpos_22775',['ICM_CTRL_REHASH_Pos',['../component_2icm_8h.html#a06f438c49292df7b6e9895461b4aa9bf',1,'icm.h']]],
['icm_5fctrl_5frmdis_5fpos_22776',['ICM_CTRL_RMDIS_Pos',['../component_2icm_8h.html#a5ab7756bdf89b979b96533438a7acdc1',1,'icm.h']]],
['icm_5fctrl_5frmen_5fpos_22777',['ICM_CTRL_RMEN_Pos',['../component_2icm_8h.html#a8f148e5a6865c61070b8579ddaae007c',1,'icm.h']]],
['icm_5fctrl_5fswrst_5fpos_22778',['ICM_CTRL_SWRST_Pos',['../component_2icm_8h.html#a660b9f23b03a1bfe1b86910b7688f5f6',1,'icm.h']]],
['icm_5fdscr_5fdasa_5fpos_22779',['ICM_DSCR_DASA_Pos',['../component_2icm_8h.html#a383d719038483930302846b1ed1df420',1,'icm.h']]],
['icm_5fdscr_5fmask_22780',['ICM_DSCR_MASK',['../component_2icm_8h.html#ae705a66d854dc37c052eb1d184dd6bad',1,'icm.h']]],
['icm_5fdscr_5foffset_22781',['ICM_DSCR_OFFSET',['../component_2icm_8h.html#a3550fcc8833e477aa50edf2706dbb132',1,'icm.h']]],
['icm_5fdscr_5fresetvalue_22782',['ICM_DSCR_RESETVALUE',['../component_2icm_8h.html#aaded598f48356cbb28029720b62c8ffa',1,'icm.h']]],
['icm_5fhash_5fhasa_5fpos_22783',['ICM_HASH_HASA_Pos',['../component_2icm_8h.html#ade058a8352ff18ae5b813f5f66de3bb4',1,'icm.h']]],
['icm_5fhash_5fmask_22784',['ICM_HASH_MASK',['../component_2icm_8h.html#ac30dc1ad19378c908a800e224080c7d1',1,'icm.h']]],
['icm_5fhash_5foffset_22785',['ICM_HASH_OFFSET',['../component_2icm_8h.html#aca2c3512ee6410914bc8a3c40fb25a6d',1,'icm.h']]],
['icm_5fhash_5fresetvalue_22786',['ICM_HASH_RESETVALUE',['../component_2icm_8h.html#a371bf6f011243ff620af2351c0b99a2a',1,'icm.h']]],
['icm_5fidr_5fmask_22787',['ICM_IDR_MASK',['../component_2icm_8h.html#a043cc9e91b9a8b8e9f6dce045ec279d9',1,'icm.h']]],
['icm_5fidr_5foffset_22788',['ICM_IDR_OFFSET',['../component_2icm_8h.html#aee1fe1d5f91f39d79f20ca5c85c6ee42',1,'icm.h']]],
['icm_5fidr_5frbe_5fpos_22789',['ICM_IDR_RBE_Pos',['../component_2icm_8h.html#ab955680edc02a5e22e255418df4c9255',1,'icm.h']]],
['icm_5fidr_5frdm_5fpos_22790',['ICM_IDR_RDM_Pos',['../component_2icm_8h.html#a82d539f4b6b69760806364b1e66ac299',1,'icm.h']]],
['icm_5fidr_5frec_5fpos_22791',['ICM_IDR_REC_Pos',['../component_2icm_8h.html#a002c6c31230b5a572c7688474cc6ddf8',1,'icm.h']]],
['icm_5fidr_5fresetvalue_22792',['ICM_IDR_RESETVALUE',['../component_2icm_8h.html#a107e55771afc5d9461f68db340cbcdb2',1,'icm.h']]],
['icm_5fidr_5frhc_5fpos_22793',['ICM_IDR_RHC_Pos',['../component_2icm_8h.html#a88d0785074f9666841e88af3767bb43a',1,'icm.h']]],
['icm_5fidr_5frsu_5fpos_22794',['ICM_IDR_RSU_Pos',['../component_2icm_8h.html#a6b3681ffa45121a4b9178108df8fb9c0',1,'icm.h']]],
['icm_5fidr_5frwc_5fpos_22795',['ICM_IDR_RWC_Pos',['../component_2icm_8h.html#a6acd2c6a5fc257c89374ed306704e247',1,'icm.h']]],
['icm_5fidr_5furad_5fpos_22796',['ICM_IDR_URAD_Pos',['../component_2icm_8h.html#a19ea7b9b6e2156759deebd8b2d08fa29',1,'icm.h']]],
['icm_5fier_5fmask_22797',['ICM_IER_MASK',['../component_2icm_8h.html#a0b5e59e65e296c6645808db627cf291c',1,'icm.h']]],
['icm_5fier_5foffset_22798',['ICM_IER_OFFSET',['../component_2icm_8h.html#a91bc75f2722c14e384e97b354d959bd9',1,'icm.h']]],
['icm_5fier_5frbe_5fpos_22799',['ICM_IER_RBE_Pos',['../component_2icm_8h.html#ac930ff35c8fef14b84526778ca6af303',1,'icm.h']]],
['icm_5fier_5frdm_5fpos_22800',['ICM_IER_RDM_Pos',['../component_2icm_8h.html#a3ed262b27af0532b7380f6b1aca1cdeb',1,'icm.h']]],
['icm_5fier_5frec_5fpos_22801',['ICM_IER_REC_Pos',['../component_2icm_8h.html#a64133746185ba94d7173aec5184be79e',1,'icm.h']]],
['icm_5fier_5frhc_5fpos_22802',['ICM_IER_RHC_Pos',['../component_2icm_8h.html#a374b2adba5e70a052345ffd8d0791ab8',1,'icm.h']]],
['icm_5fier_5frsu_5fpos_22803',['ICM_IER_RSU_Pos',['../component_2icm_8h.html#a86c0a0188fa534ab0346ad2fe182d9e7',1,'icm.h']]],
['icm_5fier_5frwc_5fpos_22804',['ICM_IER_RWC_Pos',['../component_2icm_8h.html#ae4de540f1bb6664b9d48a55a7ce9540a',1,'icm.h']]],
['icm_5fier_5furad_5fpos_22805',['ICM_IER_URAD_Pos',['../component_2icm_8h.html#adffee19b11b0bf0270b929ae06d92665',1,'icm.h']]],
['icm_5fimr_5fmask_22806',['ICM_IMR_MASK',['../component_2icm_8h.html#a4754d4b07a25873def8dcd5026f9fa0d',1,'icm.h']]],
['icm_5fimr_5foffset_22807',['ICM_IMR_OFFSET',['../component_2icm_8h.html#afae17a93f3662fb5a0836ef14dfd2c2c',1,'icm.h']]],
['icm_5fimr_5frbe_5fpos_22808',['ICM_IMR_RBE_Pos',['../component_2icm_8h.html#aaaf8635401239917ebdfbc31ca13200e',1,'icm.h']]],
['icm_5fimr_5frdm_5fpos_22809',['ICM_IMR_RDM_Pos',['../component_2icm_8h.html#a3921601739425742942544922713f4ab',1,'icm.h']]],
['icm_5fimr_5frec_5fpos_22810',['ICM_IMR_REC_Pos',['../component_2icm_8h.html#aa34e17abd6b2fb112f014dc6ab39a5da',1,'icm.h']]],
['icm_5fimr_5fresetvalue_22811',['ICM_IMR_RESETVALUE',['../component_2icm_8h.html#a2837dd779d05d40aab13415472fd372c',1,'icm.h']]],
['icm_5fimr_5frhc_5fpos_22812',['ICM_IMR_RHC_Pos',['../component_2icm_8h.html#a95c48bcbce839847a3eb4c9ceebd2e2f',1,'icm.h']]],
['icm_5fimr_5frsu_5fpos_22813',['ICM_IMR_RSU_Pos',['../component_2icm_8h.html#a5e965edfba33ccaa8724d5d768343a91',1,'icm.h']]],
['icm_5fimr_5frwc_5fpos_22814',['ICM_IMR_RWC_Pos',['../component_2icm_8h.html#a36e24dd455f0ed97d38ecfa12427244b',1,'icm.h']]],
['icm_5fimr_5furad_5fpos_22815',['ICM_IMR_URAD_Pos',['../component_2icm_8h.html#a2167976906f6bef62a45720757245c54',1,'icm.h']]],
['icm_5finst_5fnum_22816',['ICM_INST_NUM',['../same54n19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54p20a.h']]],
['icm_5finsts_22817',['ICM_INSTS',['../same54n19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54p20a.h']]],
['icm_5fisr_5fmask_22818',['ICM_ISR_MASK',['../component_2icm_8h.html#ae13faba87f9349246a833f23bf312ab3',1,'icm.h']]],
['icm_5fisr_5foffset_22819',['ICM_ISR_OFFSET',['../component_2icm_8h.html#a9f5925c508c4935ef60134e432b649bd',1,'icm.h']]],
['icm_5fisr_5frbe_5fpos_22820',['ICM_ISR_RBE_Pos',['../component_2icm_8h.html#a4a92d77ddb0c05b189351d3f7743e153',1,'icm.h']]],
['icm_5fisr_5frdm_5fpos_22821',['ICM_ISR_RDM_Pos',['../component_2icm_8h.html#a0c309772de04b613b38fa7267ef27352',1,'icm.h']]],
['icm_5fisr_5frec_5fpos_22822',['ICM_ISR_REC_Pos',['../component_2icm_8h.html#a8ba468532d5265969bc7c28c2a090aba',1,'icm.h']]],
['icm_5fisr_5fresetvalue_22823',['ICM_ISR_RESETVALUE',['../component_2icm_8h.html#a3b608434e49fcc38b1bdfe2d676f7fee',1,'icm.h']]],
['icm_5fisr_5frhc_5fpos_22824',['ICM_ISR_RHC_Pos',['../component_2icm_8h.html#ae0a365714ae6fafa290e70d9ba1d76a8',1,'icm.h']]],
['icm_5fisr_5frsu_5fpos_22825',['ICM_ISR_RSU_Pos',['../component_2icm_8h.html#a03062bb456a06371bb138896cf02abb4',1,'icm.h']]],
['icm_5fisr_5frwc_5fpos_22826',['ICM_ISR_RWC_Pos',['../component_2icm_8h.html#a3efdcea1c1ca9429fe1539c6e35b92be',1,'icm.h']]],
['icm_5fisr_5furad_5fpos_22827',['ICM_ISR_URAD_Pos',['../component_2icm_8h.html#a723a502c86a366f7b7c4a76220b0d5ad',1,'icm.h']]],
['icm_5fraddr_5fmask_22828',['ICM_RADDR_MASK',['../component_2icm_8h.html#a2559ac7ae6f814b9d3ebb21456906296',1,'icm.h']]],
['icm_5fraddr_5foffset_22829',['ICM_RADDR_OFFSET',['../component_2icm_8h.html#ac18ac78a1dd5e4f44ac00befd46768df',1,'icm.h']]],
['icm_5frcfg_5falgo_5fpos_22830',['ICM_RCFG_ALGO_Pos',['../component_2icm_8h.html#a40410946a20c73b4ff812f218e00c4a9',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fdis_5fval_22831',['ICM_RCFG_BEIEN_DIS_Val',['../component_2icm_8h.html#a416b05ee3064a06e6714c39c84a3743c',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fen_5fval_22832',['ICM_RCFG_BEIEN_EN_Val',['../component_2icm_8h.html#a42e8415587115d089fcbcdafe6533f38',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fpos_22833',['ICM_RCFG_BEIEN_Pos',['../component_2icm_8h.html#a0381e695ad906593d43e4d287db4e60a',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fcomp_5fval_22834',['ICM_RCFG_CDWBN_COMP_Val',['../component_2icm_8h.html#aa5d68d09c87e8d2bd5653f85d64e3bf8',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fpos_22835',['ICM_RCFG_CDWBN_Pos',['../component_2icm_8h.html#a71f6c0af57a8ac42d9058ba483944b78',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fwrba_5fval_22836',['ICM_RCFG_CDWBN_WRBA_Val',['../component_2icm_8h.html#a0e22685e4f19a78d16b93b85efc2bc27',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fdis_5fval_22837',['ICM_RCFG_DMIEN_DIS_Val',['../component_2icm_8h.html#a6d9cbf08b1cd163af0df89b10b338805',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fen_5fval_22838',['ICM_RCFG_DMIEN_EN_Val',['../component_2icm_8h.html#ab4589e46754e30de0f092d3412b25249',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fpos_22839',['ICM_RCFG_DMIEN_Pos',['../component_2icm_8h.html#aae886f572331cbc1168a2bc84132c0a1',1,'icm.h']]],
['icm_5frcfg_5fecien_5fdis_5fval_22840',['ICM_RCFG_ECIEN_DIS_Val',['../component_2icm_8h.html#ab65de4c284b1231a536c17d564762395',1,'icm.h']]],
['icm_5frcfg_5fecien_5fen_5fval_22841',['ICM_RCFG_ECIEN_EN_Val',['../component_2icm_8h.html#a13d7651e4d5409389761bcfa2316373b',1,'icm.h']]],
['icm_5frcfg_5fecien_5fpos_22842',['ICM_RCFG_ECIEN_Pos',['../component_2icm_8h.html#a8ac4db6725d4c8de0e9307e3e2f2db8d',1,'icm.h']]],
['icm_5frcfg_5feom_5fno_5fval_22843',['ICM_RCFG_EOM_NO_Val',['../component_2icm_8h.html#a5f3c11bb3541487235c3187e0c38fb61',1,'icm.h']]],
['icm_5frcfg_5feom_5fpos_22844',['ICM_RCFG_EOM_Pos',['../component_2icm_8h.html#a378a70850bed5e07aaac0167f9df3d46',1,'icm.h']]],
['icm_5frcfg_5feom_5fyes_5fval_22845',['ICM_RCFG_EOM_YES_Val',['../component_2icm_8h.html#a1a1088b38aae8888c106d13555d34af3',1,'icm.h']]],
['icm_5frcfg_5fmask_22846',['ICM_RCFG_MASK',['../component_2icm_8h.html#ad77dbc12d95eb7f4e0e5b7fc1f0b046f',1,'icm.h']]],
['icm_5frcfg_5fmrprot_5fpos_22847',['ICM_RCFG_MRPROT_Pos',['../component_2icm_8h.html#ae4de1a47aaf8c019c7632209c54c9c59',1,'icm.h']]],
['icm_5frcfg_5foffset_22848',['ICM_RCFG_OFFSET',['../component_2icm_8h.html#a67f79de608d5b8e84d411de33e935240',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5flong_5fval_22849',['ICM_RCFG_PROCDLY_LONG_Val',['../component_2icm_8h.html#ab0b9df5fc73c9a8c2bcab64439fa254a',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fpos_22850',['ICM_RCFG_PROCDLY_Pos',['../component_2icm_8h.html#a3e13a388bccb22544ce657252f3b2e2d',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fshort_5fval_22851',['ICM_RCFG_PROCDLY_SHORT_Val',['../component_2icm_8h.html#aa1f4324ca2234ac19d164b1e5abe2467',1,'icm.h']]],
['icm_5frcfg_5fresetvalue_22852',['ICM_RCFG_RESETVALUE',['../component_2icm_8h.html#ab3d05fadc1d7391c5928d61d01f452ab',1,'icm.h']]],
['icm_5frcfg_5frhien_5fdis_5fval_22853',['ICM_RCFG_RHIEN_DIS_Val',['../component_2icm_8h.html#ad894d7c173638dc69f098e012466d3a5',1,'icm.h']]],
['icm_5frcfg_5frhien_5fen_5fval_22854',['ICM_RCFG_RHIEN_EN_Val',['../component_2icm_8h.html#aa994909dd58ad3ec597214bc7380df51',1,'icm.h']]],
['icm_5frcfg_5frhien_5fpos_22855',['ICM_RCFG_RHIEN_Pos',['../component_2icm_8h.html#aeda75bcbe5bb53ce6eb7dac7cf7d6e36',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fdis_5fval_22856',['ICM_RCFG_SUIEN_DIS_Val',['../component_2icm_8h.html#ab8edc7ee8db3ba7f4932400a0409b74d',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fen_5fval_22857',['ICM_RCFG_SUIEN_EN_Val',['../component_2icm_8h.html#a0268ed9693d95c647210b8020ea96843',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fpos_22858',['ICM_RCFG_SUIEN_Pos',['../component_2icm_8h.html#a969cfc7968ad883f793d387c349c7c7f',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fdis_5fval_22859',['ICM_RCFG_WCIEN_DIS_Val',['../component_2icm_8h.html#a2b9e12413cf8c0cd6a95ba8f9d37b989',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fen_5fval_22860',['ICM_RCFG_WCIEN_EN_Val',['../component_2icm_8h.html#a723dd9d27e9236455abc4e20723156ce',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fpos_22861',['ICM_RCFG_WCIEN_Pos',['../component_2icm_8h.html#ae8a63272e72e6d77349025db92da5c37',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fno_5fval_22862',['ICM_RCFG_WRAP_NO_Val',['../component_2icm_8h.html#a4771e1b6ae80d212f7cd527552a7b22f',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fpos_22863',['ICM_RCFG_WRAP_Pos',['../component_2icm_8h.html#a3bcbf779a24e72844bc22ed8ba3497df',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fyes_5fval_22864',['ICM_RCFG_WRAP_YES_Val',['../component_2icm_8h.html#a2cf4983c97fcbaa179a1a33daa80130d',1,'icm.h']]],
['icm_5frctrl_5fmask_22865',['ICM_RCTRL_MASK',['../component_2icm_8h.html#a71832030d6483370bd61ef1dc0da2485',1,'icm.h']]],
['icm_5frctrl_5foffset_22866',['ICM_RCTRL_OFFSET',['../component_2icm_8h.html#ac36cfcca3a33d950254519d142426644',1,'icm.h']]],
['icm_5frctrl_5ftrsize_5fpos_22867',['ICM_RCTRL_TRSIZE_Pos',['../component_2icm_8h.html#ab85029ba95d49e5ccab09dfd2b6766d3',1,'icm.h']]],
['icm_5frnext_5fmask_22868',['ICM_RNEXT_MASK',['../component_2icm_8h.html#a20f4d7f0ba882b86ecf3a9445832eb1a',1,'icm.h']]],
['icm_5frnext_5foffset_22869',['ICM_RNEXT_OFFSET',['../component_2icm_8h.html#a4a03da3d8bbb8d80b133b85db1d501aa',1,'icm.h']]],
['icm_5fsr_5fenable_5fpos_22870',['ICM_SR_ENABLE_Pos',['../component_2icm_8h.html#acb5bbf14d430310febaa603c60618243',1,'icm.h']]],
['icm_5fsr_5fmask_22871',['ICM_SR_MASK',['../component_2icm_8h.html#a02420fe2fe0fbf37ed7a8b0b1396bc07',1,'icm.h']]],
['icm_5fsr_5foffset_22872',['ICM_SR_OFFSET',['../component_2icm_8h.html#a9eff34ae7607ecc48a2b05753b9e8529',1,'icm.h']]],
['icm_5fsr_5frawrmdis_5fpos_22873',['ICM_SR_RAWRMDIS_Pos',['../component_2icm_8h.html#a637bbb6a8cdf15f02e72cef1066a843a',1,'icm.h']]],
['icm_5fsr_5fresetvalue_22874',['ICM_SR_RESETVALUE',['../component_2icm_8h.html#a93904219d9a2e2c3af67a47ed1d7cef0',1,'icm.h']]],
['icm_5fsr_5frmdis_5fpos_22875',['ICM_SR_RMDIS_Pos',['../component_2icm_8h.html#a9ce3b97c60b7cd013e711c2f6df03e01',1,'icm.h']]],
['icm_5fuasr_5fmask_22876',['ICM_UASR_MASK',['../component_2icm_8h.html#addbb92795a033d99b4bd2464bd7bdf83',1,'icm.h']]],
['icm_5fuasr_5foffset_22877',['ICM_UASR_OFFSET',['../component_2icm_8h.html#a8924c31a7717ccb12cd67942441b5166',1,'icm.h']]],
['icm_5fuasr_5fresetvalue_22878',['ICM_UASR_RESETVALUE',['../component_2icm_8h.html#abd5b522a7cfd485b9e25a17b17546c39',1,'icm.h']]],
['icm_5fuasr_5furat_5fcfg_5fmodified_5fval_22879',['ICM_UASR_URAT_CFG_MODIFIED_Val',['../component_2icm_8h.html#a0c98cdb9cd6e868aa31ebd3bdb44cc85',1,'icm.h']]],
['icm_5fuasr_5furat_5fdscr_5fmodified_5fval_22880',['ICM_UASR_URAT_DSCR_MODIFIED_Val',['../component_2icm_8h.html#aa9bda1fde7de8ee902ff6b17373ae771',1,'icm.h']]],
['icm_5fuasr_5furat_5fhash_5fmodified_5fval_22881',['ICM_UASR_URAT_HASH_MODIFIED_Val',['../component_2icm_8h.html#a770c08190dc88cc58e1bce72b19bf316',1,'icm.h']]],
['icm_5fuasr_5furat_5fpos_22882',['ICM_UASR_URAT_Pos',['../component_2icm_8h.html#a74e95221cafc6ba8165d2d60019581f4',1,'icm.h']]],
['icm_5fuasr_5furat_5fread_5faccess_5fval_22883',['ICM_UASR_URAT_READ_ACCESS_Val',['../component_2icm_8h.html#adf561d7956433dcd6002cbfb7235ad74',1,'icm.h']]],
['icm_5fuasr_5furat_5funspec_5fstruct_5fmember_5fval_22884',['ICM_UASR_URAT_UNSPEC_STRUCT_MEMBER_Val',['../component_2icm_8h.html#a0754f85597b05ee2fe3fcab99b8d4f63',1,'icm.h']]],
['icm_5fuihval_5fmask_22885',['ICM_UIHVAL_MASK',['../component_2icm_8h.html#a0242ef965585ba62297da1e30aa83be0',1,'icm.h']]],
['icm_5fuihval_5foffset_22886',['ICM_UIHVAL_OFFSET',['../component_2icm_8h.html#a18e9d8698391c20741c7696eca4677b5',1,'icm.h']]],
['icm_5fuihval_5fresetvalue_22887',['ICM_UIHVAL_RESETVALUE',['../component_2icm_8h.html#a3f8811fc8ed65a98f9ad4b37c4194aa1',1,'icm.h']]],
['icm_5fuihval_5fval_5fpos_22888',['ICM_UIHVAL_VAL_Pos',['../component_2icm_8h.html#ad34d4dbc9035f963a3180993accd34a6',1,'icm.h']]],
['id_5fac_22889',['ID_AC',['../same54n19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54n19a.h'],['../same54n20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54n20a.h'],['../same54p19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54p19a.h'],['../same54p20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54p20a.h']]],
['id_5fadc0_22890',['ID_ADC0',['../same54n19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54n19a.h'],['../same54n20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54n20a.h'],['../same54p19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54p19a.h'],['../same54p20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54p20a.h']]],
['id_5fadc1_22891',['ID_ADC1',['../same54n19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54n19a.h'],['../same54n20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54n20a.h'],['../same54p19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54p19a.h'],['../same54p20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54p20a.h']]],
['id_5faes_22892',['ID_AES',['../same54n19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54n19a.h'],['../same54n20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54n20a.h'],['../same54p19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54p19a.h'],['../same54p20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54p20a.h']]],
['id_5fcan0_22893',['ID_CAN0',['../same54n19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54n19a.h'],['../same54n20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54n20a.h'],['../same54p19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54p19a.h'],['../same54p20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54p20a.h']]],
['id_5fcan1_22894',['ID_CAN1',['../same54n19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54n19a.h'],['../same54n20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54n20a.h'],['../same54p19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54p19a.h'],['../same54p20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54p20a.h']]],
['id_5fccl_22895',['ID_CCL',['../same54n19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54n19a.h'],['../same54n20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54n20a.h'],['../same54p19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54p19a.h'],['../same54p20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54p20a.h']]],
['id_5fcmcc_22896',['ID_CMCC',['../same54n19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54p20a.h']]],
['id_5fdac_22897',['ID_DAC',['../same54n19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54p20a.h']]],
['id_5fdmac_22898',['ID_DMAC',['../same54n19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54p20a.h']]],
['id_5fdsu_22899',['ID_DSU',['../same54n19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54n19a.h'],['../same54n20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54n20a.h'],['../same54p19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54p19a.h'],['../same54p20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54p20a.h']]],
['id_5feic_22900',['ID_EIC',['../same54n19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54n19a.h'],['../same54n20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54n20a.h'],['../same54p19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54p19a.h'],['../same54p20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54p20a.h']]],
['id_5fevsys_22901',['ID_EVSYS',['../same54n19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54n19a.h'],['../same54n20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54n20a.h'],['../same54p19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54p19a.h'],['../same54p20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54p20a.h']]],
['id_5ffreqm_22902',['ID_FREQM',['../same54n19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54p20a.h']]],
['id_5fgclk_22903',['ID_GCLK',['../same54n19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54p20a.h']]],
['id_5fgmac_22904',['ID_GMAC',['../same54n19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54p20a.h']]],
['id_5fhmatrix_22905',['ID_HMATRIX',['../same54n19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54p20a.h']]],
['id_5fi2s_22906',['ID_I2S',['../same54n19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54n19a.h'],['../same54n20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54n20a.h'],['../same54p19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54p19a.h'],['../same54p20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54p20a.h']]],
['id_5ficm_22907',['ID_ICM',['../same54n19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54n19a.h'],['../same54n20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54n20a.h'],['../same54p19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54p19a.h'],['../same54p20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54p20a.h']]],
['id_5fmclk_22908',['ID_MCLK',['../same54n19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54p20a.h']]],
['id_5fnvmctrl_22909',['ID_NVMCTRL',['../same54n19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54p20a.h']]],
['id_5fosc32kctrl_22910',['ID_OSC32KCTRL',['../same54n19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54p20a.h']]],
['id_5foscctrl_22911',['ID_OSCCTRL',['../same54n19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54p20a.h']]],
['id_5fpac_22912',['ID_PAC',['../same54n19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54p20a.h']]],
['id_5fpcc_22913',['ID_PCC',['../same54n19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54p20a.h']]],
['id_5fpdec_22914',['ID_PDEC',['../same54n19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54n19a.h'],['../same54n20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54n20a.h'],['../same54p19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54p19a.h'],['../same54p20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54p20a.h']]],
['id_5fperiph_5fcount_22915',['ID_PERIPH_COUNT',['../same54n19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54n19a.h'],['../same54n20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54n20a.h'],['../same54p19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54p19a.h'],['../same54p20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54p20a.h']]],
['id_5fpm_22916',['ID_PM',['../same54n19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54p20a.h']]],
['id_5fport_22917',['ID_PORT',['../same54n19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54n19a.h'],['../same54n20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54n20a.h'],['../same54p19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54p19a.h'],['../same54p20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54p20a.h']]],
['id_5fpukcc_22918',['ID_PUKCC',['../same54n19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54p20a.h']]],
['id_5fqspi_22919',['ID_QSPI',['../same54n19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54p20a.h']]],
['id_5framecc_22920',['ID_RAMECC',['../same54n19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54n19a.h'],['../same54n20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54n20a.h'],['../same54p19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54p19a.h'],['../same54p20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54p20a.h']]],
['id_5frstc_22921',['ID_RSTC',['../same54n19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54n19a.h'],['../same54n20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54n20a.h'],['../same54p19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54p19a.h'],['../same54p20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54p20a.h']]],
['id_5frtc_22922',['ID_RTC',['../same54n19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54n19a.h'],['../same54n20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54n20a.h'],['../same54p19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54p19a.h'],['../same54p20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54p20a.h']]],
['id_5fsdhc0_22923',['ID_SDHC0',['../same54n19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54p20a.h']]],
['id_5fsdhc1_22924',['ID_SDHC1',['../same54n19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54p20a.h']]],
['id_5fsercom0_22925',['ID_SERCOM0',['../same54n19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54n19a.h'],['../same54n20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54n20a.h'],['../same54p19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54p19a.h'],['../same54p20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54p20a.h']]],
['id_5fsercom1_22926',['ID_SERCOM1',['../same54n19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54n19a.h'],['../same54n20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54n20a.h'],['../same54p19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54p19a.h'],['../same54p20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54p20a.h']]],
['id_5fsercom2_22927',['ID_SERCOM2',['../same54n19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54n19a.h'],['../same54n20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54n20a.h'],['../same54p19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54p19a.h'],['../same54p20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54p20a.h']]],
['id_5fsercom3_22928',['ID_SERCOM3',['../same54n19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54n19a.h'],['../same54n20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54n20a.h'],['../same54p19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54p19a.h'],['../same54p20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54p20a.h']]],
['id_5fsercom4_22929',['ID_SERCOM4',['../same54n19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54n19a.h'],['../same54n20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54n20a.h'],['../same54p19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54p19a.h'],['../same54p20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54p20a.h']]],
['id_5fsercom5_22930',['ID_SERCOM5',['../same54n19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54n19a.h'],['../same54n20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54n20a.h'],['../same54p19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54p19a.h'],['../same54p20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54p20a.h']]],
['id_5fsercom6_22931',['ID_SERCOM6',['../same54n19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54n19a.h'],['../same54n20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54n20a.h'],['../same54p19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54p19a.h'],['../same54p20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54p20a.h']]],
['id_5fsercom7_22932',['ID_SERCOM7',['../same54n19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54n19a.h'],['../same54n20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54n20a.h'],['../same54p19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54p19a.h'],['../same54p20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54p20a.h']]],
['id_5fsupc_22933',['ID_SUPC',['../same54n19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54n19a.h'],['../same54n20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54n20a.h'],['../same54p19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54p19a.h'],['../same54p20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54p20a.h']]],
['id_5ftc0_22934',['ID_TC0',['../same54n19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54p20a.h']]],
['id_5ftc1_22935',['ID_TC1',['../same54n19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54n19a.h'],['../same54n20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54n20a.h'],['../same54p19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54p19a.h'],['../same54p20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54p20a.h']]],
['id_5ftc2_22936',['ID_TC2',['../same54n19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54n19a.h'],['../same54n20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54n20a.h'],['../same54p19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54p19a.h'],['../same54p20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54p20a.h']]],
['id_5ftc3_22937',['ID_TC3',['../same54n19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54n19a.h'],['../same54n20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54n20a.h'],['../same54p19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54p19a.h'],['../same54p20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54p20a.h']]],
['id_5ftc4_22938',['ID_TC4',['../same54n19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54n19a.h'],['../same54n20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54n20a.h'],['../same54p19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54p19a.h'],['../same54p20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54p20a.h']]],
['id_5ftc5_22939',['ID_TC5',['../same54n19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54n19a.h'],['../same54n20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54n20a.h'],['../same54p19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54p19a.h'],['../same54p20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54p20a.h']]],
['id_5ftc6_22940',['ID_TC6',['../same54n19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54n19a.h'],['../same54n20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54n20a.h'],['../same54p19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54p19a.h'],['../same54p20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54p20a.h']]],
['id_5ftc7_22941',['ID_TC7',['../same54n19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54n19a.h'],['../same54n20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54n20a.h'],['../same54p19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54p19a.h'],['../same54p20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54p20a.h']]],
['id_5ftcc0_22942',['ID_TCC0',['../same54n19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54n19a.h'],['../same54n20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54n20a.h'],['../same54p19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54p19a.h'],['../same54p20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54p20a.h']]],
['id_5ftcc1_22943',['ID_TCC1',['../same54n19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54p20a.h']]],
['id_5ftcc2_22944',['ID_TCC2',['../same54n19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54n19a.h'],['../same54n20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54n20a.h'],['../same54p19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54p19a.h'],['../same54p20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54p20a.h']]],
['id_5ftcc3_22945',['ID_TCC3',['../same54n19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54n19a.h'],['../same54n20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54n20a.h'],['../same54p19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54p19a.h'],['../same54p20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54p20a.h']]],
['id_5ftcc4_22946',['ID_TCC4',['../same54n19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54n19a.h'],['../same54n20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54n20a.h'],['../same54p19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54p19a.h'],['../same54p20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54p20a.h']]],
['id_5ftrng_22947',['ID_TRNG',['../same54n19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54n19a.h'],['../same54n20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54n20a.h'],['../same54p19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54p19a.h'],['../same54p20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54p20a.h']]],
['id_5fusb_22948',['ID_USB',['../same54n19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54n19a.h'],['../same54n20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54n20a.h'],['../same54p19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54p19a.h'],['../same54p20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54p20a.h']]],
['id_5fwdt_22949',['ID_WDT',['../same54n19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54p20a.h']]]
['i2s_22617',['I2S',['../same54n19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54n19a.h'],['../same54n20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54n20a.h'],['../same54p19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54p19a.h'],['../same54p20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S():&#160;same54p20a.h']]],
['i2s_5fclkctrl_5fbitdelay_5fi2s_5fval_22618',['I2S_CLKCTRL_BITDELAY_I2S_Val',['../component_2i2s_8h.html#afcd06500145915a75264200ecd94b82c',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5flj_5fval_22619',['I2S_CLKCTRL_BITDELAY_LJ_Val',['../component_2i2s_8h.html#a8f7fa76cbdfa92a9d34cb21798f42e05',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5fpos_22620',['I2S_CLKCTRL_BITDELAY_Pos',['../component_2i2s_8h.html#a49490bb9926136b0a51f72cf1cb06d0b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsinv_5fpos_22621',['I2S_CLKCTRL_FSINV_Pos',['../component_2i2s_8h.html#a29373d39bfacc2e611c7529033904695',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsoutinv_5fpos_22622',['I2S_CLKCTRL_FSOUTINV_Pos',['../component_2i2s_8h.html#acaf6b659b41ebeb68a953853623fe32f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5ffspin_5fval_22623',['I2S_CLKCTRL_FSSEL_FSPIN_Val',['../component_2i2s_8h.html#a705fcc1a6a527511c4840dddc95a2008',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fpos_22624',['I2S_CLKCTRL_FSSEL_Pos',['../component_2i2s_8h.html#aa51b72506368a9cf76123c0309c2058f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fsckdiv_5fval_22625',['I2S_CLKCTRL_FSSEL_SCKDIV_Val',['../component_2i2s_8h.html#a39d58817b2ebcbad9625f2b5aeadc335',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fbit_5fval_22626',['I2S_CLKCTRL_FSWIDTH_BIT_Val',['../component_2i2s_8h.html#a8e586922105865e834930dfc922c1671',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fburst_5fval_22627',['I2S_CLKCTRL_FSWIDTH_BURST_Val',['../component_2i2s_8h.html#ad8a9cb5d05e25833a45f099860ac162e',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fhalf_5fval_22628',['I2S_CLKCTRL_FSWIDTH_HALF_Val',['../component_2i2s_8h.html#a74f044f2df267ca85a29fea55b94cd7b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fpos_22629',['I2S_CLKCTRL_FSWIDTH_Pos',['../component_2i2s_8h.html#a68fd7a78e998d738a74bf6a56a47a082',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fslot_5fval_22630',['I2S_CLKCTRL_FSWIDTH_SLOT_Val',['../component_2i2s_8h.html#a95aeb939939817f4a06c2b7fad0baf11',1,'i2s.h']]],
['i2s_5fclkctrl_5fmask_22631',['I2S_CLKCTRL_MASK',['../component_2i2s_8h.html#a8226b438dcf86a1ccb34b1a34ee20851',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckdiv_5fpos_22632',['I2S_CLKCTRL_MCKDIV_Pos',['../component_2i2s_8h.html#ad3726a482440530335068151b6dc027d',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcken_5fpos_22633',['I2S_CLKCTRL_MCKEN_Pos',['../component_2i2s_8h.html#aacb10d0c0efa62757e4ad2835e34b7ea',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutdiv_5fpos_22634',['I2S_CLKCTRL_MCKOUTDIV_Pos',['../component_2i2s_8h.html#afebe81d51492d496f010c589066b6480',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutinv_5fpos_22635',['I2S_CLKCTRL_MCKOUTINV_Pos',['../component_2i2s_8h.html#a4fc0a23600a4d7c260a70a0e72aefb3e',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fgclk_5fval_22636',['I2S_CLKCTRL_MCKSEL_GCLK_Val',['../component_2i2s_8h.html#ad99be42bcb1d8ee04c4f99b4e64612cd',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fmckpin_5fval_22637',['I2S_CLKCTRL_MCKSEL_MCKPIN_Val',['../component_2i2s_8h.html#a628c7be58d58c99048e2c6fe700924ff',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fpos_22638',['I2S_CLKCTRL_MCKSEL_Pos',['../component_2i2s_8h.html#a4767c420ba0c4a22f2cfd89f1bd64533',1,'i2s.h']]],
['i2s_5fclkctrl_5fnbslots_5fpos_22639',['I2S_CLKCTRL_NBSLOTS_Pos',['../component_2i2s_8h.html#ae01bc499f135be63219ee2c6444c05b3',1,'i2s.h']]],
['i2s_5fclkctrl_5foffset_22640',['I2S_CLKCTRL_OFFSET',['../component_2i2s_8h.html#ac9120317bb8722d15b6abd2964cc6b66',1,'i2s.h']]],
['i2s_5fclkctrl_5fresetvalue_22641',['I2S_CLKCTRL_RESETVALUE',['../component_2i2s_8h.html#a34cc8d707a5387d2ff9232ba0b30f95e',1,'i2s.h']]],
['i2s_5fclkctrl_5fsckoutinv_5fpos_22642',['I2S_CLKCTRL_SCKOUTINV_Pos',['../component_2i2s_8h.html#a74c1d5849cebe8fb0e16e16251e8f60d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fmckdiv_5fval_22643',['I2S_CLKCTRL_SCKSEL_MCKDIV_Val',['../component_2i2s_8h.html#a4fcee5b58df1d5d62935da463555752d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fpos_22644',['I2S_CLKCTRL_SCKSEL_Pos',['../component_2i2s_8h.html#a51d7bf9feb1a438c178c07077a84d6ec',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fsckpin_5fval_22645',['I2S_CLKCTRL_SCKSEL_SCKPIN_Val',['../component_2i2s_8h.html#af4b508014f15cb047bddbb681228668f',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f16_5fval_22646',['I2S_CLKCTRL_SLOTSIZE_16_Val',['../component_2i2s_8h.html#a4caa59c4008c74b3ee0a8be8dd02183b',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f24_5fval_22647',['I2S_CLKCTRL_SLOTSIZE_24_Val',['../component_2i2s_8h.html#abbc9d2ad05bbc8e24cdc97dcc5ffdd04',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f32_5fval_22648',['I2S_CLKCTRL_SLOTSIZE_32_Val',['../component_2i2s_8h.html#aaee0ee592d4468e2abf681def2ad82b7',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f8_5fval_22649',['I2S_CLKCTRL_SLOTSIZE_8_Val',['../component_2i2s_8h.html#a8bdf35936a6d3d62de0c94cde31b1c94',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5fpos_22650',['I2S_CLKCTRL_SLOTSIZE_Pos',['../component_2i2s_8h.html#a3790543c148a2adda023e411fd6595ad',1,'i2s.h']]],
['i2s_5fctrla_5fcken0_5fpos_22651',['I2S_CTRLA_CKEN0_Pos',['../component_2i2s_8h.html#a7d77c4b6486ab5045b81fc091b9e338d',1,'i2s.h']]],
['i2s_5fctrla_5fcken1_5fpos_22652',['I2S_CTRLA_CKEN1_Pos',['../component_2i2s_8h.html#a8e21e63a40f88a9e5ec0542ff8df23c0',1,'i2s.h']]],
['i2s_5fctrla_5fcken_5fpos_22653',['I2S_CTRLA_CKEN_Pos',['../component_2i2s_8h.html#a8c61333786563ab2ed03bfb976fc4e74',1,'i2s.h']]],
['i2s_5fctrla_5fenable_5fpos_22654',['I2S_CTRLA_ENABLE_Pos',['../component_2i2s_8h.html#a3eca624a3bbc9c500de9624a3c816399',1,'i2s.h']]],
['i2s_5fctrla_5fmask_22655',['I2S_CTRLA_MASK',['../component_2i2s_8h.html#a89739cb190180a69697f3ece0470b780',1,'i2s.h']]],
['i2s_5fctrla_5foffset_22656',['I2S_CTRLA_OFFSET',['../component_2i2s_8h.html#a6d620cfa3bc2af7bdbbbae9252f5b567',1,'i2s.h']]],
['i2s_5fctrla_5fresetvalue_22657',['I2S_CTRLA_RESETVALUE',['../component_2i2s_8h.html#ac55724eab173039ae6acf553ed92c693',1,'i2s.h']]],
['i2s_5fctrla_5frxen_5fpos_22658',['I2S_CTRLA_RXEN_Pos',['../component_2i2s_8h.html#a06fcfeab9e39e7492298e3a4a3e58950',1,'i2s.h']]],
['i2s_5fctrla_5fswrst_5fpos_22659',['I2S_CTRLA_SWRST_Pos',['../component_2i2s_8h.html#a397b6ac745baf60099bd267adf4143a8',1,'i2s.h']]],
['i2s_5fctrla_5ftxen_5fpos_22660',['I2S_CTRLA_TXEN_Pos',['../component_2i2s_8h.html#a501e61ab1ba7bb3b2bac7373ff80bd5e',1,'i2s.h']]],
['i2s_5finst_5fnum_22661',['I2S_INST_NUM',['../same54n19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM():&#160;same54p20a.h']]],
['i2s_5finsts_22662',['I2S_INSTS',['../same54n19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS():&#160;same54p20a.h']]],
['i2s_5fintenclr_5fmask_22663',['I2S_INTENCLR_MASK',['../component_2i2s_8h.html#a7511aeab3570168deef863a0945ff013',1,'i2s.h']]],
['i2s_5fintenclr_5foffset_22664',['I2S_INTENCLR_OFFSET',['../component_2i2s_8h.html#abd070bc8ffee600ddb258303c5ff7e6c',1,'i2s.h']]],
['i2s_5fintenclr_5fresetvalue_22665',['I2S_INTENCLR_RESETVALUE',['../component_2i2s_8h.html#a2bc24ab6ab10ee3fcad4fb0678e122f4',1,'i2s.h']]],
['i2s_5fintenclr_5frxor0_5fpos_22666',['I2S_INTENCLR_RXOR0_Pos',['../component_2i2s_8h.html#ae7b60215ac9e256b4660e710f2a20a15',1,'i2s.h']]],
['i2s_5fintenclr_5frxor1_5fpos_22667',['I2S_INTENCLR_RXOR1_Pos',['../component_2i2s_8h.html#a3ac2f9b1f31d415d4e071d8af0074b06',1,'i2s.h']]],
['i2s_5fintenclr_5frxor_5fpos_22668',['I2S_INTENCLR_RXOR_Pos',['../component_2i2s_8h.html#afed2283ebdd42b5c187abf28e6029f0c',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy0_5fpos_22669',['I2S_INTENCLR_RXRDY0_Pos',['../component_2i2s_8h.html#a15e508b4c8f7428f2ff99616060bc6ee',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy1_5fpos_22670',['I2S_INTENCLR_RXRDY1_Pos',['../component_2i2s_8h.html#ab9fb50d874f6597bfa69abb73fbf2862',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy_5fpos_22671',['I2S_INTENCLR_RXRDY_Pos',['../component_2i2s_8h.html#a04eb812f8b42d1d690ba5420fc6b242b',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy0_5fpos_22672',['I2S_INTENCLR_TXRDY0_Pos',['../component_2i2s_8h.html#a2ec1727e262443ba522900c51416e3c6',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy1_5fpos_22673',['I2S_INTENCLR_TXRDY1_Pos',['../component_2i2s_8h.html#ad9aeb6db3a8f09c6c6d586b64a98e4c3',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy_5fpos_22674',['I2S_INTENCLR_TXRDY_Pos',['../component_2i2s_8h.html#afc89b68c83bd2907f5c7a91cd36a667f',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur0_5fpos_22675',['I2S_INTENCLR_TXUR0_Pos',['../component_2i2s_8h.html#a40a52fbc12775b89db0e5e917beaba8d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur1_5fpos_22676',['I2S_INTENCLR_TXUR1_Pos',['../component_2i2s_8h.html#a8e6e57c754c211623784759da161c01d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur_5fpos_22677',['I2S_INTENCLR_TXUR_Pos',['../component_2i2s_8h.html#a3532309a5035b5752b503639978a9e62',1,'i2s.h']]],
['i2s_5fintenset_5fmask_22678',['I2S_INTENSET_MASK',['../component_2i2s_8h.html#a69068c25175bd3bb6b3cd8dd5b48a933',1,'i2s.h']]],
['i2s_5fintenset_5foffset_22679',['I2S_INTENSET_OFFSET',['../component_2i2s_8h.html#a4f7799200879d836b56557cc501fc86c',1,'i2s.h']]],
['i2s_5fintenset_5fresetvalue_22680',['I2S_INTENSET_RESETVALUE',['../component_2i2s_8h.html#af0d24a1632b21dc544a62f9ba89478e3',1,'i2s.h']]],
['i2s_5fintenset_5frxor0_5fpos_22681',['I2S_INTENSET_RXOR0_Pos',['../component_2i2s_8h.html#a329b94c3981eea317584e01a84bbc23b',1,'i2s.h']]],
['i2s_5fintenset_5frxor1_5fpos_22682',['I2S_INTENSET_RXOR1_Pos',['../component_2i2s_8h.html#aa80578c073e672c92eb123cda1edd527',1,'i2s.h']]],
['i2s_5fintenset_5frxor_5fpos_22683',['I2S_INTENSET_RXOR_Pos',['../component_2i2s_8h.html#a85f713671974c54bdf903cbc6767f5d0',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy0_5fpos_22684',['I2S_INTENSET_RXRDY0_Pos',['../component_2i2s_8h.html#a20017e8afeaed03d067cdab28118f120',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy1_5fpos_22685',['I2S_INTENSET_RXRDY1_Pos',['../component_2i2s_8h.html#a9f5ba1356e9c6c4cc5a89174ea9c9544',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy_5fpos_22686',['I2S_INTENSET_RXRDY_Pos',['../component_2i2s_8h.html#a6a83119896f3ef19f62b84b85047291b',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy0_5fpos_22687',['I2S_INTENSET_TXRDY0_Pos',['../component_2i2s_8h.html#a6e9a7c3dba406e01057e4923c0df405f',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy1_5fpos_22688',['I2S_INTENSET_TXRDY1_Pos',['../component_2i2s_8h.html#a825c2ed15a9153b24d8a83533b9cca35',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy_5fpos_22689',['I2S_INTENSET_TXRDY_Pos',['../component_2i2s_8h.html#a4f5152f72ea4c8ccf037b78380108771',1,'i2s.h']]],
['i2s_5fintenset_5ftxur0_5fpos_22690',['I2S_INTENSET_TXUR0_Pos',['../component_2i2s_8h.html#ae7a70a245f4559dc2e882e5b5e40c24e',1,'i2s.h']]],
['i2s_5fintenset_5ftxur1_5fpos_22691',['I2S_INTENSET_TXUR1_Pos',['../component_2i2s_8h.html#a97d5fc3092599da0d0950d4cbdf8ec66',1,'i2s.h']]],
['i2s_5fintenset_5ftxur_5fpos_22692',['I2S_INTENSET_TXUR_Pos',['../component_2i2s_8h.html#a0b01a5e36ed19ab2e98e0685a96d1e04',1,'i2s.h']]],
['i2s_5fintflag_5fmask_22693',['I2S_INTFLAG_MASK',['../component_2i2s_8h.html#aa253de8b7b161df5481550f7ff9d2039',1,'i2s.h']]],
['i2s_5fintflag_5foffset_22694',['I2S_INTFLAG_OFFSET',['../component_2i2s_8h.html#ac8ef4f6d3231d368efdb2135b13146d8',1,'i2s.h']]],
['i2s_5fintflag_5fresetvalue_22695',['I2S_INTFLAG_RESETVALUE',['../component_2i2s_8h.html#a683106ff6b1673adc53e28a118d2c6b5',1,'i2s.h']]],
['i2s_5fintflag_5frxor0_5fpos_22696',['I2S_INTFLAG_RXOR0_Pos',['../component_2i2s_8h.html#aea01a5af5d62e72433ff5a4576fb2a5a',1,'i2s.h']]],
['i2s_5fintflag_5frxor1_5fpos_22697',['I2S_INTFLAG_RXOR1_Pos',['../component_2i2s_8h.html#a187192cb3469dd749d34479792551617',1,'i2s.h']]],
['i2s_5fintflag_5frxor_5fpos_22698',['I2S_INTFLAG_RXOR_Pos',['../component_2i2s_8h.html#a72a2ee346cab69a89d41a362304e67a8',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy0_5fpos_22699',['I2S_INTFLAG_RXRDY0_Pos',['../component_2i2s_8h.html#a1eaa330e64ae98b22bd94d4820ef25bd',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy1_5fpos_22700',['I2S_INTFLAG_RXRDY1_Pos',['../component_2i2s_8h.html#a3872451a6ff3dac098d309ac91a07224',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy_5fpos_22701',['I2S_INTFLAG_RXRDY_Pos',['../component_2i2s_8h.html#af35339d81893f2eb7074bda7576ed732',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy0_5fpos_22702',['I2S_INTFLAG_TXRDY0_Pos',['../component_2i2s_8h.html#ab8cb5231618dcbf1dc0755bef513fcbb',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy1_5fpos_22703',['I2S_INTFLAG_TXRDY1_Pos',['../component_2i2s_8h.html#aa6d976afb12a4186f3801d42d7f3eaf2',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy_5fpos_22704',['I2S_INTFLAG_TXRDY_Pos',['../component_2i2s_8h.html#a4401102e0bff61291957c9418e23a1b8',1,'i2s.h']]],
['i2s_5fintflag_5ftxur0_5fpos_22705',['I2S_INTFLAG_TXUR0_Pos',['../component_2i2s_8h.html#a5f0b5fd326750e4e70210add0dd2dce7',1,'i2s.h']]],
['i2s_5fintflag_5ftxur1_5fpos_22706',['I2S_INTFLAG_TXUR1_Pos',['../component_2i2s_8h.html#a5b34bc919a96b16a6b6cf8fcd7d1c657',1,'i2s.h']]],
['i2s_5fintflag_5ftxur_5fpos_22707',['I2S_INTFLAG_TXUR_Pos',['../component_2i2s_8h.html#a8f19374ab8dd41bf7c35c129c1389fa7',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5flsbit_5fval_22708',['I2S_RXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a4189215c57c5f54690a43a016562e256',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fmsbit_5fval_22709',['I2S_RXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#a328d2ab97927812391d0c5d42fdf47bd',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fpos_22710',['I2S_RXCTRL_BITREV_Pos',['../component_2i2s_8h.html#a483344f9eb7d762425531749ca656065',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk0_5fval_22711',['I2S_RXCTRL_CLKSEL_CLK0_Val',['../component_2i2s_8h.html#a1bf5e6a62ea3c9152ccded8930946871',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk1_5fval_22712',['I2S_RXCTRL_CLKSEL_CLK1_Val',['../component_2i2s_8h.html#ab5566340ff2baa8b4144fad7ef03d699',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fpos_22713',['I2S_RXCTRL_CLKSEL_Pos',['../component_2i2s_8h.html#a651115fd712c9d92b2afa088a6ea9ba4',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16_5fval_22714',['I2S_RXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a47e69a45e045535406ad55e11ad5d71c',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16c_5fval_22715',['I2S_RXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a4e55a2002f9093bb01be018bbd7459ac',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f18_5fval_22716',['I2S_RXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a9aa9c80f04de2464087be188b988481d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f20_5fval_22717',['I2S_RXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#afdb0227564c5d171483a812ba25a9b5a',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f24_5fval_22718',['I2S_RXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a10c8a4e2f20063d37fa2d24027718829',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f32_5fval_22719',['I2S_RXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a382da5627a63d7a6c98674de4a5ba03d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8_5fval_22720',['I2S_RXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a6ab4f649f427ba70829ead258480e3f2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8c_5fval_22721',['I2S_RXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a64ebb00aef17da0a3250559554e51fd2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5fpos_22722',['I2S_RXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#ab02b820857f008f6dce1a3b2aca8abdf',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fmultiple_5fval_22723',['I2S_RXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a5822f4572a509a97827ed13a7033201b',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fpos_22724',['I2S_RXCTRL_DMA_Pos',['../component_2i2s_8h.html#a5a300a1a8820ef8c25efb483c5e55bd6',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fsingle_5fval_22725',['I2S_RXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a120be82e1fceec25d7a921abd34f61bc',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5flsbit_5fval_22726',['I2S_RXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#acca345974d2174e3c4545622ea6d76da',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fmsbit_5fval_22727',['I2S_RXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a9609b632d91bb077c22d232fa15e7e9e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fone_5fval_22728',['I2S_RXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#abfafaba50aaa222fd4e4e0e917bf1f4e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fpos_22729',['I2S_RXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a57b4866cd968ac5f4c10d28a70b2fef6',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fzero_5fval_22730',['I2S_RXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a8d87ac641c726722fa04c7dbe206968d',1,'i2s.h']]],
['i2s_5frxctrl_5fmask_22731',['I2S_RXCTRL_MASK',['../component_2i2s_8h.html#a6d8503b0dc93eb0901b6dc1618ffc716',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fmono_5fval_22732',['I2S_RXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#adeb03f3d85e233df41c7bf9dc1815efd',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fpos_22733',['I2S_RXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac84304637c46e1c504eab48099e2a5d5',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fstereo_5fval_22734',['I2S_RXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a57b383a9544d35949f9c541d14f9f0cd',1,'i2s.h']]],
['i2s_5frxctrl_5foffset_22735',['I2S_RXCTRL_OFFSET',['../component_2i2s_8h.html#a20ef159c381b0d3f9bdcb88e22dac048',1,'i2s.h']]],
['i2s_5frxctrl_5fresetvalue_22736',['I2S_RXCTRL_RESETVALUE',['../component_2i2s_8h.html#a1d4fd8bc89985b67f1c3e567d8e235f0',1,'i2s.h']]],
['i2s_5frxctrl_5frxloop_5fpos_22737',['I2S_RXCTRL_RXLOOP_Pos',['../component_2i2s_8h.html#a7efe036f26ad1909176af6ebc151a430',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpdm2_5fval_22738',['I2S_RXCTRL_SERMODE_PDM2_Val',['../component_2i2s_8h.html#a6f10754a9b32ad10f3cb4d7483e2ca49',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpos_22739',['I2S_RXCTRL_SERMODE_Pos',['../component_2i2s_8h.html#a0e3aefa2716526f84eedd3de813c7470',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5frx_5fval_22740',['I2S_RXCTRL_SERMODE_RX_Val',['../component_2i2s_8h.html#a8b3f26e178ed08e633d945067b4a7249',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fleft_5fval_22741',['I2S_RXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#adb489860994fc1b15e98af9b5d043ab0',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fpos_22742',['I2S_RXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#abb4d28db80499ecd44a89f9719b78a65',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fright_5fval_22743',['I2S_RXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#a9062e73bee32d548de7e9f5d5d45a917',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis0_5fpos_22744',['I2S_RXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a1bea8cedd534e39f72a7856fcd4df913',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis1_5fpos_22745',['I2S_RXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#a33eb8ff4391f73c9c0a29c3999d8bc0c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis2_5fpos_22746',['I2S_RXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a96d36b7da56a1dc773afbe44b17ee4d5',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis3_5fpos_22747',['I2S_RXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#a4bf54a466964d32665c1e561a62b682c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis4_5fpos_22748',['I2S_RXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#a3b7cfb3be624e94a4a9fbbeb4cf6ae6c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis5_5fpos_22749',['I2S_RXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a0cc263101bbc164ee3073843c512b799',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis6_5fpos_22750',['I2S_RXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#ad8c4ff976e4aef052e9c504ba2fe9eb6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis7_5fpos_22751',['I2S_RXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#ae2ed8f19af21a758099dc050e97099b6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis_5fpos_22752',['I2S_RXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a8ea445e37a78507cae01076d7583ec8b',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fleft_5fval_22753',['I2S_RXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#a59746517d26d97df6ad8e3b6317bd2ad',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fpos_22754',['I2S_RXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#a40c17f1a66744acba8807f3655deca3f',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fright_5fval_22755',['I2S_RXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#a0ef32bad8115a443570b008e0a89a77a',1,'i2s.h']]],
['i2s_5frxdata_5fdata_5fpos_22756',['I2S_RXDATA_DATA_Pos',['../component_2i2s_8h.html#a24e58dacf0ef2e194c53418b1d3949cc',1,'i2s.h']]],
['i2s_5frxdata_5fmask_22757',['I2S_RXDATA_MASK',['../component_2i2s_8h.html#a0cbe951f14e6321ea6adfe5cbf1c3a18',1,'i2s.h']]],
['i2s_5frxdata_5foffset_22758',['I2S_RXDATA_OFFSET',['../component_2i2s_8h.html#a86a01925f507e5d8cfaf8d2dbd95aca5',1,'i2s.h']]],
['i2s_5frxdata_5fresetvalue_22759',['I2S_RXDATA_RESETVALUE',['../component_2i2s_8h.html#abed90c12d2497812fff0fba07c6d2823',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken0_5fpos_22760',['I2S_SYNCBUSY_CKEN0_Pos',['../component_2i2s_8h.html#aad9165400f5446588625f6c8f431ea03',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken1_5fpos_22761',['I2S_SYNCBUSY_CKEN1_Pos',['../component_2i2s_8h.html#ade7d604973323633d18920cbc18ad843',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken_5fpos_22762',['I2S_SYNCBUSY_CKEN_Pos',['../component_2i2s_8h.html#a923903cb1b27cc2aef70f3cb8417f5fb',1,'i2s.h']]],
['i2s_5fsyncbusy_5fenable_5fpos_22763',['I2S_SYNCBUSY_ENABLE_Pos',['../component_2i2s_8h.html#a783d665b2de37a5eab0a52f8c7ff3d2d',1,'i2s.h']]],
['i2s_5fsyncbusy_5fmask_22764',['I2S_SYNCBUSY_MASK',['../component_2i2s_8h.html#a662c1a0e01af60d4d9bcd3974cbea0c8',1,'i2s.h']]],
['i2s_5fsyncbusy_5foffset_22765',['I2S_SYNCBUSY_OFFSET',['../component_2i2s_8h.html#a0cd04094390fbef4453649aee09aa9d4',1,'i2s.h']]],
['i2s_5fsyncbusy_5fresetvalue_22766',['I2S_SYNCBUSY_RESETVALUE',['../component_2i2s_8h.html#a6056c0d1259c0880975992ee251302eb',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxdata_5fpos_22767',['I2S_SYNCBUSY_RXDATA_Pos',['../component_2i2s_8h.html#ab8d696fef77572b1fec4333606cbd456',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxen_5fpos_22768',['I2S_SYNCBUSY_RXEN_Pos',['../component_2i2s_8h.html#a1b6cc3cec4808e223738c1fede7d8c89',1,'i2s.h']]],
['i2s_5fsyncbusy_5fswrst_5fpos_22769',['I2S_SYNCBUSY_SWRST_Pos',['../component_2i2s_8h.html#a0735d318b474ea6b9e13243f244300d7',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxdata_5fpos_22770',['I2S_SYNCBUSY_TXDATA_Pos',['../component_2i2s_8h.html#a684051dd31b98aba39a2b19f2bb434ac',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxen_5fpos_22771',['I2S_SYNCBUSY_TXEN_Pos',['../component_2i2s_8h.html#a5c5a708036d8cdf1223e5094eef9fe65',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5flsbit_5fval_22772',['I2S_TXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a349219787fd6652c900c28fc6c91431b',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fmsbit_5fval_22773',['I2S_TXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#af740bf2aac1cb1d02e41ff8c45f505d8',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fpos_22774',['I2S_TXCTRL_BITREV_Pos',['../component_2i2s_8h.html#ae1b975bebe4775f0477e68de4bd61c59',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16_5fval_22775',['I2S_TXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a1f8d8000e3d65bc9b0013ba93063ba04',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16c_5fval_22776',['I2S_TXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a18f6eada090015088f017e5d71b80445',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f18_5fval_22777',['I2S_TXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a91f0b3e7f8dff05a5489498a6e718029',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f20_5fval_22778',['I2S_TXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#a2198ddb85fd954f54de0b36e05e1fb86',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f24_5fval_22779',['I2S_TXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a21e35459fb472fc624e08cf933b72409',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f32_5fval_22780',['I2S_TXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a7b82c3e309628130ac1ff7b1090a11c6',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8_5fval_22781',['I2S_TXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a85a355f001735cad1c8ea4cd34df1484',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8c_5fval_22782',['I2S_TXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a855a9dc60589498c0ad6e12524b39997',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5fpos_22783',['I2S_TXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#a28c993de802c402e5792c940a7a1d467',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fmultiple_5fval_22784',['I2S_TXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a2be75d9e42c8f9c11c685455bb1c7420',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fpos_22785',['I2S_TXCTRL_DMA_Pos',['../component_2i2s_8h.html#a4244640d48bf5c939ac301c968669526',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fsingle_5fval_22786',['I2S_TXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a46dda93f82c55912a66658f122b43bcf',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5flsbit_5fval_22787',['I2S_TXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#a459c8299453f5ac1166fc16ba024b6ef',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fmsbit_5fval_22788',['I2S_TXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a43d0477c416edaf7c8facf7b61d62f74',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fone_5fval_22789',['I2S_TXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#a1f21ff99cc1e6fd0a764600b1471362c',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fpos_22790',['I2S_TXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a37b2fd7565e71d4177be7fb170dbd7cb',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fzero_5fval_22791',['I2S_TXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a89b72a65da6a321eb0fbcac9fc8cbdb7',1,'i2s.h']]],
['i2s_5ftxctrl_5fmask_22792',['I2S_TXCTRL_MASK',['../component_2i2s_8h.html#aec1e6da19a9a67218389ab7091287328',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fmono_5fval_22793',['I2S_TXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#abcc79cb421297fcc9d0129dd4558c0d6',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fpos_22794',['I2S_TXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac6cb93d5503a1e116a386f6f6ef55c49',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fstereo_5fval_22795',['I2S_TXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a71cdc71680ce9ff486d264e3d2ed8ded',1,'i2s.h']]],
['i2s_5ftxctrl_5foffset_22796',['I2S_TXCTRL_OFFSET',['../component_2i2s_8h.html#a2e34bcec02ecf6a95b16d232d03f82f1',1,'i2s.h']]],
['i2s_5ftxctrl_5fresetvalue_22797',['I2S_TXCTRL_RESETVALUE',['../component_2i2s_8h.html#a569f1702a598fdee2c10aabfa7ef510b',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fleft_5fval_22798',['I2S_TXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#a3b6b42c52ca38d5dbccb44477f774191',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fpos_22799',['I2S_TXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#a2dcef89c94502331d72c7be7d49a0715',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fright_5fval_22800',['I2S_TXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#af6c8aad73c238dacb355279b61a5a865',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis0_5fpos_22801',['I2S_TXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a5b37220966a55f4c97e447b5b5a4d5f5',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis1_5fpos_22802',['I2S_TXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#aebb22b299317c3b746d176b6b3c37a10',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis2_5fpos_22803',['I2S_TXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a926aa8edd873ca289a6266bdb3a6948d',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis3_5fpos_22804',['I2S_TXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#aa6b570d5fe4470934981ba98cdcf7131',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis4_5fpos_22805',['I2S_TXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#aad4cd0b91555f9e7fee10efcabf1b789',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis5_5fpos_22806',['I2S_TXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a7fa7b3f8c3a8b5f73a21e8e23ec10bac',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis6_5fpos_22807',['I2S_TXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#a33c62bb9e584f109e68e5d3f3c31bb5f',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis7_5fpos_22808',['I2S_TXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#a1ebbc3f080e98c930bc2c135d1790eeb',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis_5fpos_22809',['I2S_TXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a2bb7b4f5c569e1af3c107e942ee93f47',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fhiz_5fval_22810',['I2S_TXCTRL_TXDEFAULT_HIZ_Val',['../component_2i2s_8h.html#aa8f2f87914dcc6dd6b74ff20d9a39b28',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fone_5fval_22811',['I2S_TXCTRL_TXDEFAULT_ONE_Val',['../component_2i2s_8h.html#a6b44b19936325c1879d3bd75cbbc29d4',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fpos_22812',['I2S_TXCTRL_TXDEFAULT_Pos',['../component_2i2s_8h.html#a4ee81fab5c877046d73633a914329bec',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fzero_5fval_22813',['I2S_TXCTRL_TXDEFAULT_ZERO_Val',['../component_2i2s_8h.html#abf5713a735b7914ddc5f2ed65255e99a',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fpos_22814',['I2S_TXCTRL_TXSAME_Pos',['../component_2i2s_8h.html#ae7ee47675fb5e76abf6dbe14f7699155',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fsame_5fval_22815',['I2S_TXCTRL_TXSAME_SAME_Val',['../component_2i2s_8h.html#a56aa36e07182679fe675b2ccb45ef744',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fzero_5fval_22816',['I2S_TXCTRL_TXSAME_ZERO_Val',['../component_2i2s_8h.html#a8c9daea34ac95e8251a0c0d9c6f4fd3b',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fleft_5fval_22817',['I2S_TXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#aa50789c82a9bf9c026deb554b92cb193',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fpos_22818',['I2S_TXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#acf308742ff7a9389d9f995323f248991',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fright_5fval_22819',['I2S_TXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#aeda58124b748aa45852fa476b80e9154',1,'i2s.h']]],
['i2s_5ftxdata_5fdata_5fpos_22820',['I2S_TXDATA_DATA_Pos',['../component_2i2s_8h.html#afbc4a3c6619ccc1fc90e94e9ef78bb55',1,'i2s.h']]],
['i2s_5ftxdata_5fmask_22821',['I2S_TXDATA_MASK',['../component_2i2s_8h.html#a6f307db1494c5c7e1d21bb43ad8c756c',1,'i2s.h']]],
['i2s_5ftxdata_5foffset_22822',['I2S_TXDATA_OFFSET',['../component_2i2s_8h.html#ada12d7efed336775d229dfe14c65a307',1,'i2s.h']]],
['i2s_5ftxdata_5fresetvalue_22823',['I2S_TXDATA_RESETVALUE',['../component_2i2s_8h.html#ada26aa0aeee2b7e61667114cd23d9dff',1,'i2s.h']]],
['icm_22824',['ICM',['../same54n19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54n19a.h'],['../same54n20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54n20a.h'],['../same54p19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54p19a.h'],['../same54p20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM():&#160;same54p20a.h']]],
['icm_5fcfg_5fascd_5fpos_22825',['ICM_CFG_ASCD_Pos',['../component_2icm_8h.html#ad29b54b75150e57bf7d1a111fac647ae',1,'icm.h']]],
['icm_5fcfg_5fbbc_5fpos_22826',['ICM_CFG_BBC_Pos',['../component_2icm_8h.html#a3cae93384d33560349acf73d4b22bf0e',1,'icm.h']]],
['icm_5fcfg_5fdaprot_5fpos_22827',['ICM_CFG_DAPROT_Pos',['../component_2icm_8h.html#a7ecb53908baace833e344d41f093a019',1,'icm.h']]],
['icm_5fcfg_5fdualbuff_5fpos_22828',['ICM_CFG_DUALBUFF_Pos',['../component_2icm_8h.html#ac52bba2dade55791c7f3f9e0d7798f11',1,'icm.h']]],
['icm_5fcfg_5feomdis_5fpos_22829',['ICM_CFG_EOMDIS_Pos',['../component_2icm_8h.html#a3abe16e9d1c06ff65f39451f750e12a0',1,'icm.h']]],
['icm_5fcfg_5fhaprot_5fpos_22830',['ICM_CFG_HAPROT_Pos',['../component_2icm_8h.html#a1ae2704bd4144e343bb74b38ac78b3ac',1,'icm.h']]],
['icm_5fcfg_5fmask_22831',['ICM_CFG_MASK',['../component_2icm_8h.html#aa7c7aef94098d37933d3b4749d85c273',1,'icm.h']]],
['icm_5fcfg_5foffset_22832',['ICM_CFG_OFFSET',['../component_2icm_8h.html#ac6866ddc4fb95c6777054e2680cceafa',1,'icm.h']]],
['icm_5fcfg_5fresetvalue_22833',['ICM_CFG_RESETVALUE',['../component_2icm_8h.html#a6c0be59e386996dd14bf1d7170bdc1f0',1,'icm.h']]],
['icm_5fcfg_5fslbdis_5fpos_22834',['ICM_CFG_SLBDIS_Pos',['../component_2icm_8h.html#ad16d77291ad1907e6c174b2cce9ee20b',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fpos_22835',['ICM_CFG_UALGO_Pos',['../component_2icm_8h.html#a871c0a962e1002722d833ddc094ee8ba',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha1_5fval_22836',['ICM_CFG_UALGO_SHA1_Val',['../component_2icm_8h.html#a4a55349d832a22cf10ef7086bcd5433a',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha224_5fval_22837',['ICM_CFG_UALGO_SHA224_Val',['../component_2icm_8h.html#aba949cdba674ffaabd49f6a0e82704b5',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha256_5fval_22838',['ICM_CFG_UALGO_SHA256_Val',['../component_2icm_8h.html#aa54e8f927cddf27b744d34b8fa0e8a55',1,'icm.h']]],
['icm_5fcfg_5fuihash_5fpos_22839',['ICM_CFG_UIHASH_Pos',['../component_2icm_8h.html#a6309ed3bb29f9216ea38f50f285b9fd6',1,'icm.h']]],
['icm_5fcfg_5fwbdis_5fpos_22840',['ICM_CFG_WBDIS_Pos',['../component_2icm_8h.html#a8fd396cef38fa3bbf24aa3cedba0b64e',1,'icm.h']]],
['icm_5fctrl_5fdisable_5fpos_22841',['ICM_CTRL_DISABLE_Pos',['../component_2icm_8h.html#a87556afcda260041c14059aff9ed6400',1,'icm.h']]],
['icm_5fctrl_5fenable_5fpos_22842',['ICM_CTRL_ENABLE_Pos',['../component_2icm_8h.html#a1bde4faecd154cb546720b6728f8a6a6',1,'icm.h']]],
['icm_5fctrl_5fmask_22843',['ICM_CTRL_MASK',['../component_2icm_8h.html#adbfb9318f932c01f8bd4a82a8223dc2f',1,'icm.h']]],
['icm_5fctrl_5foffset_22844',['ICM_CTRL_OFFSET',['../component_2icm_8h.html#a8b8caff6f133f4bd477f687e387528c8',1,'icm.h']]],
['icm_5fctrl_5frehash_5fpos_22845',['ICM_CTRL_REHASH_Pos',['../component_2icm_8h.html#a06f438c49292df7b6e9895461b4aa9bf',1,'icm.h']]],
['icm_5fctrl_5frmdis_5fpos_22846',['ICM_CTRL_RMDIS_Pos',['../component_2icm_8h.html#a5ab7756bdf89b979b96533438a7acdc1',1,'icm.h']]],
['icm_5fctrl_5frmen_5fpos_22847',['ICM_CTRL_RMEN_Pos',['../component_2icm_8h.html#a8f148e5a6865c61070b8579ddaae007c',1,'icm.h']]],
['icm_5fctrl_5fswrst_5fpos_22848',['ICM_CTRL_SWRST_Pos',['../component_2icm_8h.html#a660b9f23b03a1bfe1b86910b7688f5f6',1,'icm.h']]],
['icm_5fdscr_5fdasa_5fpos_22849',['ICM_DSCR_DASA_Pos',['../component_2icm_8h.html#a383d719038483930302846b1ed1df420',1,'icm.h']]],
['icm_5fdscr_5fmask_22850',['ICM_DSCR_MASK',['../component_2icm_8h.html#ae705a66d854dc37c052eb1d184dd6bad',1,'icm.h']]],
['icm_5fdscr_5foffset_22851',['ICM_DSCR_OFFSET',['../component_2icm_8h.html#a3550fcc8833e477aa50edf2706dbb132',1,'icm.h']]],
['icm_5fdscr_5fresetvalue_22852',['ICM_DSCR_RESETVALUE',['../component_2icm_8h.html#aaded598f48356cbb28029720b62c8ffa',1,'icm.h']]],
['icm_5fhash_5fhasa_5fpos_22853',['ICM_HASH_HASA_Pos',['../component_2icm_8h.html#ade058a8352ff18ae5b813f5f66de3bb4',1,'icm.h']]],
['icm_5fhash_5fmask_22854',['ICM_HASH_MASK',['../component_2icm_8h.html#ac30dc1ad19378c908a800e224080c7d1',1,'icm.h']]],
['icm_5fhash_5foffset_22855',['ICM_HASH_OFFSET',['../component_2icm_8h.html#aca2c3512ee6410914bc8a3c40fb25a6d',1,'icm.h']]],
['icm_5fhash_5fresetvalue_22856',['ICM_HASH_RESETVALUE',['../component_2icm_8h.html#a371bf6f011243ff620af2351c0b99a2a',1,'icm.h']]],
['icm_5fidr_5fmask_22857',['ICM_IDR_MASK',['../component_2icm_8h.html#a043cc9e91b9a8b8e9f6dce045ec279d9',1,'icm.h']]],
['icm_5fidr_5foffset_22858',['ICM_IDR_OFFSET',['../component_2icm_8h.html#aee1fe1d5f91f39d79f20ca5c85c6ee42',1,'icm.h']]],
['icm_5fidr_5frbe_5fpos_22859',['ICM_IDR_RBE_Pos',['../component_2icm_8h.html#ab955680edc02a5e22e255418df4c9255',1,'icm.h']]],
['icm_5fidr_5frdm_5fpos_22860',['ICM_IDR_RDM_Pos',['../component_2icm_8h.html#a82d539f4b6b69760806364b1e66ac299',1,'icm.h']]],
['icm_5fidr_5frec_5fpos_22861',['ICM_IDR_REC_Pos',['../component_2icm_8h.html#a002c6c31230b5a572c7688474cc6ddf8',1,'icm.h']]],
['icm_5fidr_5fresetvalue_22862',['ICM_IDR_RESETVALUE',['../component_2icm_8h.html#a107e55771afc5d9461f68db340cbcdb2',1,'icm.h']]],
['icm_5fidr_5frhc_5fpos_22863',['ICM_IDR_RHC_Pos',['../component_2icm_8h.html#a88d0785074f9666841e88af3767bb43a',1,'icm.h']]],
['icm_5fidr_5frsu_5fpos_22864',['ICM_IDR_RSU_Pos',['../component_2icm_8h.html#a6b3681ffa45121a4b9178108df8fb9c0',1,'icm.h']]],
['icm_5fidr_5frwc_5fpos_22865',['ICM_IDR_RWC_Pos',['../component_2icm_8h.html#a6acd2c6a5fc257c89374ed306704e247',1,'icm.h']]],
['icm_5fidr_5furad_5fpos_22866',['ICM_IDR_URAD_Pos',['../component_2icm_8h.html#a19ea7b9b6e2156759deebd8b2d08fa29',1,'icm.h']]],
['icm_5fier_5fmask_22867',['ICM_IER_MASK',['../component_2icm_8h.html#a0b5e59e65e296c6645808db627cf291c',1,'icm.h']]],
['icm_5fier_5foffset_22868',['ICM_IER_OFFSET',['../component_2icm_8h.html#a91bc75f2722c14e384e97b354d959bd9',1,'icm.h']]],
['icm_5fier_5frbe_5fpos_22869',['ICM_IER_RBE_Pos',['../component_2icm_8h.html#ac930ff35c8fef14b84526778ca6af303',1,'icm.h']]],
['icm_5fier_5frdm_5fpos_22870',['ICM_IER_RDM_Pos',['../component_2icm_8h.html#a3ed262b27af0532b7380f6b1aca1cdeb',1,'icm.h']]],
['icm_5fier_5frec_5fpos_22871',['ICM_IER_REC_Pos',['../component_2icm_8h.html#a64133746185ba94d7173aec5184be79e',1,'icm.h']]],
['icm_5fier_5frhc_5fpos_22872',['ICM_IER_RHC_Pos',['../component_2icm_8h.html#a374b2adba5e70a052345ffd8d0791ab8',1,'icm.h']]],
['icm_5fier_5frsu_5fpos_22873',['ICM_IER_RSU_Pos',['../component_2icm_8h.html#a86c0a0188fa534ab0346ad2fe182d9e7',1,'icm.h']]],
['icm_5fier_5frwc_5fpos_22874',['ICM_IER_RWC_Pos',['../component_2icm_8h.html#ae4de540f1bb6664b9d48a55a7ce9540a',1,'icm.h']]],
['icm_5fier_5furad_5fpos_22875',['ICM_IER_URAD_Pos',['../component_2icm_8h.html#adffee19b11b0bf0270b929ae06d92665',1,'icm.h']]],
['icm_5fimr_5fmask_22876',['ICM_IMR_MASK',['../component_2icm_8h.html#a4754d4b07a25873def8dcd5026f9fa0d',1,'icm.h']]],
['icm_5fimr_5foffset_22877',['ICM_IMR_OFFSET',['../component_2icm_8h.html#afae17a93f3662fb5a0836ef14dfd2c2c',1,'icm.h']]],
['icm_5fimr_5frbe_5fpos_22878',['ICM_IMR_RBE_Pos',['../component_2icm_8h.html#aaaf8635401239917ebdfbc31ca13200e',1,'icm.h']]],
['icm_5fimr_5frdm_5fpos_22879',['ICM_IMR_RDM_Pos',['../component_2icm_8h.html#a3921601739425742942544922713f4ab',1,'icm.h']]],
['icm_5fimr_5frec_5fpos_22880',['ICM_IMR_REC_Pos',['../component_2icm_8h.html#aa34e17abd6b2fb112f014dc6ab39a5da',1,'icm.h']]],
['icm_5fimr_5fresetvalue_22881',['ICM_IMR_RESETVALUE',['../component_2icm_8h.html#a2837dd779d05d40aab13415472fd372c',1,'icm.h']]],
['icm_5fimr_5frhc_5fpos_22882',['ICM_IMR_RHC_Pos',['../component_2icm_8h.html#a95c48bcbce839847a3eb4c9ceebd2e2f',1,'icm.h']]],
['icm_5fimr_5frsu_5fpos_22883',['ICM_IMR_RSU_Pos',['../component_2icm_8h.html#a5e965edfba33ccaa8724d5d768343a91',1,'icm.h']]],
['icm_5fimr_5frwc_5fpos_22884',['ICM_IMR_RWC_Pos',['../component_2icm_8h.html#a36e24dd455f0ed97d38ecfa12427244b',1,'icm.h']]],
['icm_5fimr_5furad_5fpos_22885',['ICM_IMR_URAD_Pos',['../component_2icm_8h.html#a2167976906f6bef62a45720757245c54',1,'icm.h']]],
['icm_5finst_5fnum_22886',['ICM_INST_NUM',['../same54n19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM():&#160;same54p20a.h']]],
['icm_5finsts_22887',['ICM_INSTS',['../same54n19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS():&#160;same54p20a.h']]],
['icm_5fisr_5fmask_22888',['ICM_ISR_MASK',['../component_2icm_8h.html#ae13faba87f9349246a833f23bf312ab3',1,'icm.h']]],
['icm_5fisr_5foffset_22889',['ICM_ISR_OFFSET',['../component_2icm_8h.html#a9f5925c508c4935ef60134e432b649bd',1,'icm.h']]],
['icm_5fisr_5frbe_5fpos_22890',['ICM_ISR_RBE_Pos',['../component_2icm_8h.html#a4a92d77ddb0c05b189351d3f7743e153',1,'icm.h']]],
['icm_5fisr_5frdm_5fpos_22891',['ICM_ISR_RDM_Pos',['../component_2icm_8h.html#a0c309772de04b613b38fa7267ef27352',1,'icm.h']]],
['icm_5fisr_5frec_5fpos_22892',['ICM_ISR_REC_Pos',['../component_2icm_8h.html#a8ba468532d5265969bc7c28c2a090aba',1,'icm.h']]],
['icm_5fisr_5fresetvalue_22893',['ICM_ISR_RESETVALUE',['../component_2icm_8h.html#a3b608434e49fcc38b1bdfe2d676f7fee',1,'icm.h']]],
['icm_5fisr_5frhc_5fpos_22894',['ICM_ISR_RHC_Pos',['../component_2icm_8h.html#ae0a365714ae6fafa290e70d9ba1d76a8',1,'icm.h']]],
['icm_5fisr_5frsu_5fpos_22895',['ICM_ISR_RSU_Pos',['../component_2icm_8h.html#a03062bb456a06371bb138896cf02abb4',1,'icm.h']]],
['icm_5fisr_5frwc_5fpos_22896',['ICM_ISR_RWC_Pos',['../component_2icm_8h.html#a3efdcea1c1ca9429fe1539c6e35b92be',1,'icm.h']]],
['icm_5fisr_5furad_5fpos_22897',['ICM_ISR_URAD_Pos',['../component_2icm_8h.html#a723a502c86a366f7b7c4a76220b0d5ad',1,'icm.h']]],
['icm_5fraddr_5fmask_22898',['ICM_RADDR_MASK',['../component_2icm_8h.html#a2559ac7ae6f814b9d3ebb21456906296',1,'icm.h']]],
['icm_5fraddr_5foffset_22899',['ICM_RADDR_OFFSET',['../component_2icm_8h.html#ac18ac78a1dd5e4f44ac00befd46768df',1,'icm.h']]],
['icm_5frcfg_5falgo_5fpos_22900',['ICM_RCFG_ALGO_Pos',['../component_2icm_8h.html#a40410946a20c73b4ff812f218e00c4a9',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fdis_5fval_22901',['ICM_RCFG_BEIEN_DIS_Val',['../component_2icm_8h.html#a416b05ee3064a06e6714c39c84a3743c',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fen_5fval_22902',['ICM_RCFG_BEIEN_EN_Val',['../component_2icm_8h.html#a42e8415587115d089fcbcdafe6533f38',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fpos_22903',['ICM_RCFG_BEIEN_Pos',['../component_2icm_8h.html#a0381e695ad906593d43e4d287db4e60a',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fcomp_5fval_22904',['ICM_RCFG_CDWBN_COMP_Val',['../component_2icm_8h.html#aa5d68d09c87e8d2bd5653f85d64e3bf8',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fpos_22905',['ICM_RCFG_CDWBN_Pos',['../component_2icm_8h.html#a71f6c0af57a8ac42d9058ba483944b78',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fwrba_5fval_22906',['ICM_RCFG_CDWBN_WRBA_Val',['../component_2icm_8h.html#a0e22685e4f19a78d16b93b85efc2bc27',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fdis_5fval_22907',['ICM_RCFG_DMIEN_DIS_Val',['../component_2icm_8h.html#a6d9cbf08b1cd163af0df89b10b338805',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fen_5fval_22908',['ICM_RCFG_DMIEN_EN_Val',['../component_2icm_8h.html#ab4589e46754e30de0f092d3412b25249',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fpos_22909',['ICM_RCFG_DMIEN_Pos',['../component_2icm_8h.html#aae886f572331cbc1168a2bc84132c0a1',1,'icm.h']]],
['icm_5frcfg_5fecien_5fdis_5fval_22910',['ICM_RCFG_ECIEN_DIS_Val',['../component_2icm_8h.html#ab65de4c284b1231a536c17d564762395',1,'icm.h']]],
['icm_5frcfg_5fecien_5fen_5fval_22911',['ICM_RCFG_ECIEN_EN_Val',['../component_2icm_8h.html#a13d7651e4d5409389761bcfa2316373b',1,'icm.h']]],
['icm_5frcfg_5fecien_5fpos_22912',['ICM_RCFG_ECIEN_Pos',['../component_2icm_8h.html#a8ac4db6725d4c8de0e9307e3e2f2db8d',1,'icm.h']]],
['icm_5frcfg_5feom_5fno_5fval_22913',['ICM_RCFG_EOM_NO_Val',['../component_2icm_8h.html#a5f3c11bb3541487235c3187e0c38fb61',1,'icm.h']]],
['icm_5frcfg_5feom_5fpos_22914',['ICM_RCFG_EOM_Pos',['../component_2icm_8h.html#a378a70850bed5e07aaac0167f9df3d46',1,'icm.h']]],
['icm_5frcfg_5feom_5fyes_5fval_22915',['ICM_RCFG_EOM_YES_Val',['../component_2icm_8h.html#a1a1088b38aae8888c106d13555d34af3',1,'icm.h']]],
['icm_5frcfg_5fmask_22916',['ICM_RCFG_MASK',['../component_2icm_8h.html#ad77dbc12d95eb7f4e0e5b7fc1f0b046f',1,'icm.h']]],
['icm_5frcfg_5fmrprot_5fpos_22917',['ICM_RCFG_MRPROT_Pos',['../component_2icm_8h.html#ae4de1a47aaf8c019c7632209c54c9c59',1,'icm.h']]],
['icm_5frcfg_5foffset_22918',['ICM_RCFG_OFFSET',['../component_2icm_8h.html#a67f79de608d5b8e84d411de33e935240',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5flong_5fval_22919',['ICM_RCFG_PROCDLY_LONG_Val',['../component_2icm_8h.html#ab0b9df5fc73c9a8c2bcab64439fa254a',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fpos_22920',['ICM_RCFG_PROCDLY_Pos',['../component_2icm_8h.html#a3e13a388bccb22544ce657252f3b2e2d',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fshort_5fval_22921',['ICM_RCFG_PROCDLY_SHORT_Val',['../component_2icm_8h.html#aa1f4324ca2234ac19d164b1e5abe2467',1,'icm.h']]],
['icm_5frcfg_5fresetvalue_22922',['ICM_RCFG_RESETVALUE',['../component_2icm_8h.html#ab3d05fadc1d7391c5928d61d01f452ab',1,'icm.h']]],
['icm_5frcfg_5frhien_5fdis_5fval_22923',['ICM_RCFG_RHIEN_DIS_Val',['../component_2icm_8h.html#ad894d7c173638dc69f098e012466d3a5',1,'icm.h']]],
['icm_5frcfg_5frhien_5fen_5fval_22924',['ICM_RCFG_RHIEN_EN_Val',['../component_2icm_8h.html#aa994909dd58ad3ec597214bc7380df51',1,'icm.h']]],
['icm_5frcfg_5frhien_5fpos_22925',['ICM_RCFG_RHIEN_Pos',['../component_2icm_8h.html#aeda75bcbe5bb53ce6eb7dac7cf7d6e36',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fdis_5fval_22926',['ICM_RCFG_SUIEN_DIS_Val',['../component_2icm_8h.html#ab8edc7ee8db3ba7f4932400a0409b74d',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fen_5fval_22927',['ICM_RCFG_SUIEN_EN_Val',['../component_2icm_8h.html#a0268ed9693d95c647210b8020ea96843',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fpos_22928',['ICM_RCFG_SUIEN_Pos',['../component_2icm_8h.html#a969cfc7968ad883f793d387c349c7c7f',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fdis_5fval_22929',['ICM_RCFG_WCIEN_DIS_Val',['../component_2icm_8h.html#a2b9e12413cf8c0cd6a95ba8f9d37b989',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fen_5fval_22930',['ICM_RCFG_WCIEN_EN_Val',['../component_2icm_8h.html#a723dd9d27e9236455abc4e20723156ce',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fpos_22931',['ICM_RCFG_WCIEN_Pos',['../component_2icm_8h.html#ae8a63272e72e6d77349025db92da5c37',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fno_5fval_22932',['ICM_RCFG_WRAP_NO_Val',['../component_2icm_8h.html#a4771e1b6ae80d212f7cd527552a7b22f',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fpos_22933',['ICM_RCFG_WRAP_Pos',['../component_2icm_8h.html#a3bcbf779a24e72844bc22ed8ba3497df',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fyes_5fval_22934',['ICM_RCFG_WRAP_YES_Val',['../component_2icm_8h.html#a2cf4983c97fcbaa179a1a33daa80130d',1,'icm.h']]],
['icm_5frctrl_5fmask_22935',['ICM_RCTRL_MASK',['../component_2icm_8h.html#a71832030d6483370bd61ef1dc0da2485',1,'icm.h']]],
['icm_5frctrl_5foffset_22936',['ICM_RCTRL_OFFSET',['../component_2icm_8h.html#ac36cfcca3a33d950254519d142426644',1,'icm.h']]],
['icm_5frctrl_5ftrsize_5fpos_22937',['ICM_RCTRL_TRSIZE_Pos',['../component_2icm_8h.html#ab85029ba95d49e5ccab09dfd2b6766d3',1,'icm.h']]],
['icm_5frnext_5fmask_22938',['ICM_RNEXT_MASK',['../component_2icm_8h.html#a20f4d7f0ba882b86ecf3a9445832eb1a',1,'icm.h']]],
['icm_5frnext_5foffset_22939',['ICM_RNEXT_OFFSET',['../component_2icm_8h.html#a4a03da3d8bbb8d80b133b85db1d501aa',1,'icm.h']]],
['icm_5fsr_5fenable_5fpos_22940',['ICM_SR_ENABLE_Pos',['../component_2icm_8h.html#acb5bbf14d430310febaa603c60618243',1,'icm.h']]],
['icm_5fsr_5fmask_22941',['ICM_SR_MASK',['../component_2icm_8h.html#a02420fe2fe0fbf37ed7a8b0b1396bc07',1,'icm.h']]],
['icm_5fsr_5foffset_22942',['ICM_SR_OFFSET',['../component_2icm_8h.html#a9eff34ae7607ecc48a2b05753b9e8529',1,'icm.h']]],
['icm_5fsr_5frawrmdis_5fpos_22943',['ICM_SR_RAWRMDIS_Pos',['../component_2icm_8h.html#a637bbb6a8cdf15f02e72cef1066a843a',1,'icm.h']]],
['icm_5fsr_5fresetvalue_22944',['ICM_SR_RESETVALUE',['../component_2icm_8h.html#a93904219d9a2e2c3af67a47ed1d7cef0',1,'icm.h']]],
['icm_5fsr_5frmdis_5fpos_22945',['ICM_SR_RMDIS_Pos',['../component_2icm_8h.html#a9ce3b97c60b7cd013e711c2f6df03e01',1,'icm.h']]],
['icm_5fuasr_5fmask_22946',['ICM_UASR_MASK',['../component_2icm_8h.html#addbb92795a033d99b4bd2464bd7bdf83',1,'icm.h']]],
['icm_5fuasr_5foffset_22947',['ICM_UASR_OFFSET',['../component_2icm_8h.html#a8924c31a7717ccb12cd67942441b5166',1,'icm.h']]],
['icm_5fuasr_5fresetvalue_22948',['ICM_UASR_RESETVALUE',['../component_2icm_8h.html#abd5b522a7cfd485b9e25a17b17546c39',1,'icm.h']]],
['icm_5fuasr_5furat_5fcfg_5fmodified_5fval_22949',['ICM_UASR_URAT_CFG_MODIFIED_Val',['../component_2icm_8h.html#a0c98cdb9cd6e868aa31ebd3bdb44cc85',1,'icm.h']]],
['icm_5fuasr_5furat_5fdscr_5fmodified_5fval_22950',['ICM_UASR_URAT_DSCR_MODIFIED_Val',['../component_2icm_8h.html#aa9bda1fde7de8ee902ff6b17373ae771',1,'icm.h']]],
['icm_5fuasr_5furat_5fhash_5fmodified_5fval_22951',['ICM_UASR_URAT_HASH_MODIFIED_Val',['../component_2icm_8h.html#a770c08190dc88cc58e1bce72b19bf316',1,'icm.h']]],
['icm_5fuasr_5furat_5fpos_22952',['ICM_UASR_URAT_Pos',['../component_2icm_8h.html#a74e95221cafc6ba8165d2d60019581f4',1,'icm.h']]],
['icm_5fuasr_5furat_5fread_5faccess_5fval_22953',['ICM_UASR_URAT_READ_ACCESS_Val',['../component_2icm_8h.html#adf561d7956433dcd6002cbfb7235ad74',1,'icm.h']]],
['icm_5fuasr_5furat_5funspec_5fstruct_5fmember_5fval_22954',['ICM_UASR_URAT_UNSPEC_STRUCT_MEMBER_Val',['../component_2icm_8h.html#a0754f85597b05ee2fe3fcab99b8d4f63',1,'icm.h']]],
['icm_5fuihval_5fmask_22955',['ICM_UIHVAL_MASK',['../component_2icm_8h.html#a0242ef965585ba62297da1e30aa83be0',1,'icm.h']]],
['icm_5fuihval_5foffset_22956',['ICM_UIHVAL_OFFSET',['../component_2icm_8h.html#a18e9d8698391c20741c7696eca4677b5',1,'icm.h']]],
['icm_5fuihval_5fresetvalue_22957',['ICM_UIHVAL_RESETVALUE',['../component_2icm_8h.html#a3f8811fc8ed65a98f9ad4b37c4194aa1',1,'icm.h']]],
['icm_5fuihval_5fval_5fpos_22958',['ICM_UIHVAL_VAL_Pos',['../component_2icm_8h.html#ad34d4dbc9035f963a3180993accd34a6',1,'icm.h']]],
['id_5fac_22959',['ID_AC',['../same54n19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54n19a.h'],['../same54n20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54n20a.h'],['../same54p19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54p19a.h'],['../same54p20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC():&#160;same54p20a.h']]],
['id_5fadc0_22960',['ID_ADC0',['../same54n19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54n19a.h'],['../same54n20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54n20a.h'],['../same54p19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54p19a.h'],['../same54p20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0():&#160;same54p20a.h']]],
['id_5fadc1_22961',['ID_ADC1',['../same54n19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54n19a.h'],['../same54n20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54n20a.h'],['../same54p19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54p19a.h'],['../same54p20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1():&#160;same54p20a.h']]],
['id_5faes_22962',['ID_AES',['../same54n19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54n19a.h'],['../same54n20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54n20a.h'],['../same54p19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54p19a.h'],['../same54p20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES():&#160;same54p20a.h']]],
['id_5fcan0_22963',['ID_CAN0',['../same54n19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54n19a.h'],['../same54n20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54n20a.h'],['../same54p19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54p19a.h'],['../same54p20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0():&#160;same54p20a.h']]],
['id_5fcan1_22964',['ID_CAN1',['../same54n19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54n19a.h'],['../same54n20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54n20a.h'],['../same54p19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54p19a.h'],['../same54p20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1():&#160;same54p20a.h']]],
['id_5fccl_22965',['ID_CCL',['../same54n19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54n19a.h'],['../same54n20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54n20a.h'],['../same54p19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54p19a.h'],['../same54p20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL():&#160;same54p20a.h']]],
['id_5fcmcc_22966',['ID_CMCC',['../same54n19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC():&#160;same54p20a.h']]],
['id_5fdac_22967',['ID_DAC',['../same54n19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC():&#160;same54p20a.h']]],
['id_5fdmac_22968',['ID_DMAC',['../same54n19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC():&#160;same54p20a.h']]],
['id_5fdsu_22969',['ID_DSU',['../same54n19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54n19a.h'],['../same54n20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54n20a.h'],['../same54p19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54p19a.h'],['../same54p20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU():&#160;same54p20a.h']]],
['id_5feic_22970',['ID_EIC',['../same54n19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54n19a.h'],['../same54n20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54n20a.h'],['../same54p19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54p19a.h'],['../same54p20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC():&#160;same54p20a.h']]],
['id_5fevsys_22971',['ID_EVSYS',['../same54n19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54n19a.h'],['../same54n20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54n20a.h'],['../same54p19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54p19a.h'],['../same54p20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS():&#160;same54p20a.h']]],
['id_5ffreqm_22972',['ID_FREQM',['../same54n19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54n19a.h'],['../same54n20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54n20a.h'],['../same54p19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54p19a.h'],['../same54p20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM():&#160;same54p20a.h']]],
['id_5fgclk_22973',['ID_GCLK',['../same54n19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK():&#160;same54p20a.h']]],
['id_5fgmac_22974',['ID_GMAC',['../same54n19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC():&#160;same54p20a.h']]],
['id_5fhmatrix_22975',['ID_HMATRIX',['../same54n19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54n19a.h'],['../same54n20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54n20a.h'],['../same54p19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54p19a.h'],['../same54p20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX():&#160;same54p20a.h']]],
['id_5fi2s_22976',['ID_I2S',['../same54n19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54n19a.h'],['../same54n20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54n20a.h'],['../same54p19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54p19a.h'],['../same54p20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S():&#160;same54p20a.h']]],
['id_5ficm_22977',['ID_ICM',['../same54n19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54n19a.h'],['../same54n20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54n20a.h'],['../same54p19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54p19a.h'],['../same54p20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM():&#160;same54p20a.h']]],
['id_5fmclk_22978',['ID_MCLK',['../same54n19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK():&#160;same54p20a.h']]],
['id_5fnvmctrl_22979',['ID_NVMCTRL',['../same54n19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL():&#160;same54p20a.h']]],
['id_5fosc32kctrl_22980',['ID_OSC32KCTRL',['../same54n19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL():&#160;same54p20a.h']]],
['id_5foscctrl_22981',['ID_OSCCTRL',['../same54n19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL():&#160;same54p20a.h']]],
['id_5fpac_22982',['ID_PAC',['../same54n19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54n19a.h'],['../same54n20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54n20a.h'],['../same54p19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54p19a.h'],['../same54p20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC():&#160;same54p20a.h']]],
['id_5fpcc_22983',['ID_PCC',['../same54n19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC():&#160;same54p20a.h']]],
['id_5fpdec_22984',['ID_PDEC',['../same54n19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54n19a.h'],['../same54n20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54n20a.h'],['../same54p19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54p19a.h'],['../same54p20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC():&#160;same54p20a.h']]],
['id_5fperiph_5fcount_22985',['ID_PERIPH_COUNT',['../same54n19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54n19a.h'],['../same54n20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54n20a.h'],['../same54p19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54p19a.h'],['../same54p20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT():&#160;same54p20a.h']]],
['id_5fpm_22986',['ID_PM',['../same54n19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM():&#160;same54p20a.h']]],
['id_5fport_22987',['ID_PORT',['../same54n19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54n19a.h'],['../same54n20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54n20a.h'],['../same54p19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54p19a.h'],['../same54p20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT():&#160;same54p20a.h']]],
['id_5fpukcc_22988',['ID_PUKCC',['../same54n19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54n19a.h'],['../same54n20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54n20a.h'],['../same54p19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54p19a.h'],['../same54p20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC():&#160;same54p20a.h']]],
['id_5fqspi_22989',['ID_QSPI',['../same54n19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI():&#160;same54p20a.h']]],
['id_5framecc_22990',['ID_RAMECC',['../same54n19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54n19a.h'],['../same54n20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54n20a.h'],['../same54p19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54p19a.h'],['../same54p20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC():&#160;same54p20a.h']]],
['id_5frstc_22991',['ID_RSTC',['../same54n19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54n19a.h'],['../same54n20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54n20a.h'],['../same54p19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54p19a.h'],['../same54p20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC():&#160;same54p20a.h']]],
['id_5frtc_22992',['ID_RTC',['../same54n19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54n19a.h'],['../same54n20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54n20a.h'],['../same54p19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54p19a.h'],['../same54p20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC():&#160;same54p20a.h']]],
['id_5fsdhc0_22993',['ID_SDHC0',['../same54n19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0():&#160;same54p20a.h']]],
['id_5fsdhc1_22994',['ID_SDHC1',['../same54n19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1():&#160;same54p20a.h']]],
['id_5fsercom0_22995',['ID_SERCOM0',['../same54n19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54n19a.h'],['../same54n20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54n20a.h'],['../same54p19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54p19a.h'],['../same54p20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0():&#160;same54p20a.h']]],
['id_5fsercom1_22996',['ID_SERCOM1',['../same54n19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54n19a.h'],['../same54n20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54n20a.h'],['../same54p19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54p19a.h'],['../same54p20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1():&#160;same54p20a.h']]],
['id_5fsercom2_22997',['ID_SERCOM2',['../same54n19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54n19a.h'],['../same54n20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54n20a.h'],['../same54p19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54p19a.h'],['../same54p20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2():&#160;same54p20a.h']]],
['id_5fsercom3_22998',['ID_SERCOM3',['../same54n19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54n19a.h'],['../same54n20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54n20a.h'],['../same54p19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54p19a.h'],['../same54p20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3():&#160;same54p20a.h']]],
['id_5fsercom4_22999',['ID_SERCOM4',['../same54n19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54n19a.h'],['../same54n20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54n20a.h'],['../same54p19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54p19a.h'],['../same54p20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4():&#160;same54p20a.h']]],
['id_5fsercom5_23000',['ID_SERCOM5',['../same54n19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54n19a.h'],['../same54n20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54n20a.h'],['../same54p19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54p19a.h'],['../same54p20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5():&#160;same54p20a.h']]],
['id_5fsercom6_23001',['ID_SERCOM6',['../same54n19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54n19a.h'],['../same54n20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54n20a.h'],['../same54p19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54p19a.h'],['../same54p20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6():&#160;same54p20a.h']]],
['id_5fsercom7_23002',['ID_SERCOM7',['../same54n19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54n19a.h'],['../same54n20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54n20a.h'],['../same54p19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54p19a.h'],['../same54p20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7():&#160;same54p20a.h']]],
['id_5fsupc_23003',['ID_SUPC',['../same54n19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54n19a.h'],['../same54n20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54n20a.h'],['../same54p19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54p19a.h'],['../same54p20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC():&#160;same54p20a.h']]],
['id_5ftc0_23004',['ID_TC0',['../same54n19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54n19a.h'],['../same54n20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54n20a.h'],['../same54p19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54p19a.h'],['../same54p20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0():&#160;same54p20a.h']]],
['id_5ftc1_23005',['ID_TC1',['../same54n19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54n19a.h'],['../same54n20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54n20a.h'],['../same54p19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54p19a.h'],['../same54p20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1():&#160;same54p20a.h']]],
['id_5ftc2_23006',['ID_TC2',['../same54n19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54n19a.h'],['../same54n20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54n20a.h'],['../same54p19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54p19a.h'],['../same54p20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2():&#160;same54p20a.h']]],
['id_5ftc3_23007',['ID_TC3',['../same54n19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54n19a.h'],['../same54n20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54n20a.h'],['../same54p19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54p19a.h'],['../same54p20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3():&#160;same54p20a.h']]],
['id_5ftc4_23008',['ID_TC4',['../same54n19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54n19a.h'],['../same54n20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54n20a.h'],['../same54p19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54p19a.h'],['../same54p20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4():&#160;same54p20a.h']]],
['id_5ftc5_23009',['ID_TC5',['../same54n19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54n19a.h'],['../same54n20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54n20a.h'],['../same54p19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54p19a.h'],['../same54p20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5():&#160;same54p20a.h']]],
['id_5ftc6_23010',['ID_TC6',['../same54n19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54n19a.h'],['../same54n20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54n20a.h'],['../same54p19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54p19a.h'],['../same54p20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6():&#160;same54p20a.h']]],
['id_5ftc7_23011',['ID_TC7',['../same54n19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54n19a.h'],['../same54n20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54n20a.h'],['../same54p19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54p19a.h'],['../same54p20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7():&#160;same54p20a.h']]],
['id_5ftcc0_23012',['ID_TCC0',['../same54n19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54n19a.h'],['../same54n20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54n20a.h'],['../same54p19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54p19a.h'],['../same54p20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0():&#160;same54p20a.h']]],
['id_5ftcc1_23013',['ID_TCC1',['../same54n19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54n19a.h'],['../same54n20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54n20a.h'],['../same54p19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54p19a.h'],['../same54p20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1():&#160;same54p20a.h']]],
['id_5ftcc2_23014',['ID_TCC2',['../same54n19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54n19a.h'],['../same54n20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54n20a.h'],['../same54p19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54p19a.h'],['../same54p20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2():&#160;same54p20a.h']]],
['id_5ftcc3_23015',['ID_TCC3',['../same54n19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54n19a.h'],['../same54n20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54n20a.h'],['../same54p19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54p19a.h'],['../same54p20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3():&#160;same54p20a.h']]],
['id_5ftcc4_23016',['ID_TCC4',['../same54n19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54n19a.h'],['../same54n20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54n20a.h'],['../same54p19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54p19a.h'],['../same54p20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4():&#160;same54p20a.h']]],
['id_5ftrng_23017',['ID_TRNG',['../same54n19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54n19a.h'],['../same54n20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54n20a.h'],['../same54p19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54p19a.h'],['../same54p20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG():&#160;same54p20a.h']]],
['id_5fusb_23018',['ID_USB',['../same54n19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54n19a.h'],['../same54n20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54n20a.h'],['../same54p19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54p19a.h'],['../same54p20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB():&#160;same54p20a.h']]],
['id_5fwdt_23019',['ID_WDT',['../same54n19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54n19a.h'],['../same54n20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54n20a.h'],['../same54p19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54p19a.h'],['../same54p20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT():&#160;same54p20a.h']]]
];

@ -1,127 +1,127 @@
var searchData=
[
['mclk_22950',['MCLK',['../same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p20a.h']]],
['mclk_5fahbmask_5fbkupram_5fpos_22951',['MCLK_AHBMASK_BKUPRAM_Pos',['../component_2mclk_8h.html#a51f341be2cd16552a79406a3df9fad31',1,'mclk.h']]],
['mclk_5fahbmask_5fcan0_5fpos_22952',['MCLK_AHBMASK_CAN0_Pos',['../component_2mclk_8h.html#ad5d5cfafc70ebe598b651e3496fcd4a4',1,'mclk.h']]],
['mclk_5fahbmask_5fcan1_5fpos_22953',['MCLK_AHBMASK_CAN1_Pos',['../component_2mclk_8h.html#a361fe28b52db9c858de0926bc72b5985',1,'mclk.h']]],
['mclk_5fahbmask_5fcmcc_5fpos_22954',['MCLK_AHBMASK_CMCC_Pos',['../component_2mclk_8h.html#a5114ee4fb624a1109ca8a35533050c91',1,'mclk.h']]],
['mclk_5fahbmask_5fdmac_5fpos_22955',['MCLK_AHBMASK_DMAC_Pos',['../component_2mclk_8h.html#a9777b9f4e5c6f15597d5d0f3c284a638',1,'mclk.h']]],
['mclk_5fahbmask_5fdsu_5fpos_22956',['MCLK_AHBMASK_DSU_Pos',['../component_2mclk_8h.html#af25c239a7914145d262614e4cea537b3',1,'mclk.h']]],
['mclk_5fahbmask_5fgmac_5fpos_22957',['MCLK_AHBMASK_GMAC_Pos',['../component_2mclk_8h.html#a90a6e6c304da25718d5535909d7765f9',1,'mclk.h']]],
['mclk_5fahbmask_5fhmatrix_5fpos_22958',['MCLK_AHBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#a0c382b9b2b318a564cf9769941fa7373',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb0_5fpos_22959',['MCLK_AHBMASK_HPB0_Pos',['../component_2mclk_8h.html#aab73df1970914c738e069aa3f44343f7',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb1_5fpos_22960',['MCLK_AHBMASK_HPB1_Pos',['../component_2mclk_8h.html#ad500245362fc27f25dbd1cd872403db5',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb2_5fpos_22961',['MCLK_AHBMASK_HPB2_Pos',['../component_2mclk_8h.html#ad85e221b80ccea1b2fb40ccd57361831',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb3_5fpos_22962',['MCLK_AHBMASK_HPB3_Pos',['../component_2mclk_8h.html#a0fde493e6b6f196f2f5097f561c77fa3',1,'mclk.h']]],
['mclk_5fahbmask_5fhsram_5fpos_22963',['MCLK_AHBMASK_HSRAM_Pos',['../component_2mclk_8h.html#a3a610cdaf2a0676797625dee122829f3',1,'mclk.h']]],
['mclk_5fahbmask_5ficm_5fpos_22964',['MCLK_AHBMASK_ICM_Pos',['../component_2mclk_8h.html#a96dc631a8955ba6a803b4a7e0f45456e',1,'mclk.h']]],
['mclk_5fahbmask_5fmask_22965',['MCLK_AHBMASK_MASK',['../component_2mclk_8h.html#a4ff9567101bdb1ceefebec27f64d817f',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fcache_5fpos_22966',['MCLK_AHBMASK_NVMCTRL_CACHE_Pos',['../component_2mclk_8h.html#a433eb3bd2eac386d68dadbeb476c412b',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fpos_22967',['MCLK_AHBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a81becb828c915d5aac7686148213cb7a',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fsmeeprom_5fpos_22968',['MCLK_AHBMASK_NVMCTRL_SMEEPROM_Pos',['../component_2mclk_8h.html#a893beae0d8a30df0cac8ac289280926a',1,'mclk.h']]],
['mclk_5fahbmask_5foffset_22969',['MCLK_AHBMASK_OFFSET',['../component_2mclk_8h.html#af60da772fd92f5ac2d24529a4edd5bcf',1,'mclk.h']]],
['mclk_5fahbmask_5fpac_5fpos_22970',['MCLK_AHBMASK_PAC_Pos',['../component_2mclk_8h.html#a25f30a1fcd43fb869b5594496df8d1f1',1,'mclk.h']]],
['mclk_5fahbmask_5fpukcc_5fpos_22971',['MCLK_AHBMASK_PUKCC_Pos',['../component_2mclk_8h.html#aced4a82f31a9b059bc0ce0372302cfc9',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5f2x_5fpos_22972',['MCLK_AHBMASK_QSPI_2X_Pos',['../component_2mclk_8h.html#addc4877eff69e76ab70162f511744c0b',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5fpos_22973',['MCLK_AHBMASK_QSPI_Pos',['../component_2mclk_8h.html#aadc7e0a4d4a8f204ff028e09185a6dbc',1,'mclk.h']]],
['mclk_5fahbmask_5fresetvalue_22974',['MCLK_AHBMASK_RESETVALUE',['../component_2mclk_8h.html#a7416e817fc3532e446cfae94a314c2c1',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc0_5fpos_22975',['MCLK_AHBMASK_SDHC0_Pos',['../component_2mclk_8h.html#a075eb14056d8b7fedd243795408bf542',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc1_5fpos_22976',['MCLK_AHBMASK_SDHC1_Pos',['../component_2mclk_8h.html#a33f14b34d3d4622e115b84d46bb9207a',1,'mclk.h']]],
['mclk_5fahbmask_5fusb_5fpos_22977',['MCLK_AHBMASK_USB_Pos',['../component_2mclk_8h.html#a58c4b1c4ee77bdcf758d0e74ec5b5abc',1,'mclk.h']]],
['mclk_5fapbamask_5feic_5fpos_22978',['MCLK_APBAMASK_EIC_Pos',['../component_2mclk_8h.html#a5071841327f5691fad5aaa64928723b4',1,'mclk.h']]],
['mclk_5fapbamask_5ffreqm_5fpos_22979',['MCLK_APBAMASK_FREQM_Pos',['../component_2mclk_8h.html#acf54f7ce88550526bfc9800583a180ae',1,'mclk.h']]],
['mclk_5fapbamask_5fgclk_5fpos_22980',['MCLK_APBAMASK_GCLK_Pos',['../component_2mclk_8h.html#a5fb09fb3c0885c6bf234d8021344b40b',1,'mclk.h']]],
['mclk_5fapbamask_5fmask_22981',['MCLK_APBAMASK_MASK',['../component_2mclk_8h.html#a85274982e658543846410257d8741368',1,'mclk.h']]],
['mclk_5fapbamask_5fmclk_5fpos_22982',['MCLK_APBAMASK_MCLK_Pos',['../component_2mclk_8h.html#a0db8d768734ebf5b9b0c4b32fff4ad02',1,'mclk.h']]],
['mclk_5fapbamask_5foffset_22983',['MCLK_APBAMASK_OFFSET',['../component_2mclk_8h.html#a0c6c90fc226352932e5d81fb51efebc0',1,'mclk.h']]],
['mclk_5fapbamask_5fosc32kctrl_5fpos_22984',['MCLK_APBAMASK_OSC32KCTRL_Pos',['../component_2mclk_8h.html#ac13c0587fd456465accd0efc6e769413',1,'mclk.h']]],
['mclk_5fapbamask_5foscctrl_5fpos_22985',['MCLK_APBAMASK_OSCCTRL_Pos',['../component_2mclk_8h.html#ac3c888b7b8de3be9e61afcf2aff6dff2',1,'mclk.h']]],
['mclk_5fapbamask_5fpac_5fpos_22986',['MCLK_APBAMASK_PAC_Pos',['../component_2mclk_8h.html#a961dc42788c4447477d905b3fa27c039',1,'mclk.h']]],
['mclk_5fapbamask_5fpm_5fpos_22987',['MCLK_APBAMASK_PM_Pos',['../component_2mclk_8h.html#a04293b17854c4a2862117cb1e92e90d9',1,'mclk.h']]],
['mclk_5fapbamask_5fresetvalue_22988',['MCLK_APBAMASK_RESETVALUE',['../component_2mclk_8h.html#a3d96d2b490df3f3164791e72baeabe60',1,'mclk.h']]],
['mclk_5fapbamask_5frstc_5fpos_22989',['MCLK_APBAMASK_RSTC_Pos',['../component_2mclk_8h.html#a23015f90db0192052e8d0a971684fa6e',1,'mclk.h']]],
['mclk_5fapbamask_5frtc_5fpos_22990',['MCLK_APBAMASK_RTC_Pos',['../component_2mclk_8h.html#a29bcfd311e7858237139c52fa164728d',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom0_5fpos_22991',['MCLK_APBAMASK_SERCOM0_Pos',['../component_2mclk_8h.html#a51a1701f5148614ae7068e73e4fa46df',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom1_5fpos_22992',['MCLK_APBAMASK_SERCOM1_Pos',['../component_2mclk_8h.html#ad7c8b0f983278890160b98943182947b',1,'mclk.h']]],
['mclk_5fapbamask_5fsupc_5fpos_22993',['MCLK_APBAMASK_SUPC_Pos',['../component_2mclk_8h.html#a9482e51359fc581f7c605977eb3685c5',1,'mclk.h']]],
['mclk_5fapbamask_5ftc0_5fpos_22994',['MCLK_APBAMASK_TC0_Pos',['../component_2mclk_8h.html#adafd8598fbe6998d741a4db76ae10819',1,'mclk.h']]],
['mclk_5fapbamask_5ftc1_5fpos_22995',['MCLK_APBAMASK_TC1_Pos',['../component_2mclk_8h.html#aadeb489d48264708c10f7d267b8a5365',1,'mclk.h']]],
['mclk_5fapbamask_5fwdt_5fpos_22996',['MCLK_APBAMASK_WDT_Pos',['../component_2mclk_8h.html#a65b51937fbcdf1a5d7418bbfd0cb3a4e',1,'mclk.h']]],
['mclk_5fapbbmask_5fdsu_5fpos_22997',['MCLK_APBBMASK_DSU_Pos',['../component_2mclk_8h.html#a04d6d13ad8ea21c5493c88e22b64900b',1,'mclk.h']]],
['mclk_5fapbbmask_5fevsys_5fpos_22998',['MCLK_APBBMASK_EVSYS_Pos',['../component_2mclk_8h.html#acfef8c4d439e6c9c2474a3dba130a9ee',1,'mclk.h']]],
['mclk_5fapbbmask_5fhmatrix_5fpos_22999',['MCLK_APBBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#ac9b7b578f7a12e80c52cb5f536ffbfc6',1,'mclk.h']]],
['mclk_5fapbbmask_5fmask_23000',['MCLK_APBBMASK_MASK',['../component_2mclk_8h.html#a17175a488d06a4b52abab2d654660456',1,'mclk.h']]],
['mclk_5fapbbmask_5fnvmctrl_5fpos_23001',['MCLK_APBBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a00e3c7c7c317a8a1a2e828ed35e38993',1,'mclk.h']]],
['mclk_5fapbbmask_5foffset_23002',['MCLK_APBBMASK_OFFSET',['../component_2mclk_8h.html#a8c35b6e1cf5ad6b7de3361646bc0510f',1,'mclk.h']]],
['mclk_5fapbbmask_5fport_5fpos_23003',['MCLK_APBBMASK_PORT_Pos',['../component_2mclk_8h.html#ae3ed73b717df511384b9192f5ff8a411',1,'mclk.h']]],
['mclk_5fapbbmask_5framecc_5fpos_23004',['MCLK_APBBMASK_RAMECC_Pos',['../component_2mclk_8h.html#a7a77772f140e3aeb2d58634ec4b1b206',1,'mclk.h']]],
['mclk_5fapbbmask_5fresetvalue_23005',['MCLK_APBBMASK_RESETVALUE',['../component_2mclk_8h.html#a7784f1cdd1314b91b3004070e1fc4b8b',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom2_5fpos_23006',['MCLK_APBBMASK_SERCOM2_Pos',['../component_2mclk_8h.html#ae601a75e252448b9b3ac8b7976bc06b4',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom3_5fpos_23007',['MCLK_APBBMASK_SERCOM3_Pos',['../component_2mclk_8h.html#a79d8ab6fd56bcdd7e7b512b076b86846',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc2_5fpos_23008',['MCLK_APBBMASK_TC2_Pos',['../component_2mclk_8h.html#acf6f153dbd6855a2250546f855a8c781',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc3_5fpos_23009',['MCLK_APBBMASK_TC3_Pos',['../component_2mclk_8h.html#a7365f0e61af5bf7f97d074e8f3987d54',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc0_5fpos_23010',['MCLK_APBBMASK_TCC0_Pos',['../component_2mclk_8h.html#a49d92e93709a54e3d7d92f7c14a9cec1',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc1_5fpos_23011',['MCLK_APBBMASK_TCC1_Pos',['../component_2mclk_8h.html#a481a03f2e4cace02a94025c9e0790da5',1,'mclk.h']]],
['mclk_5fapbbmask_5fusb_5fpos_23012',['MCLK_APBBMASK_USB_Pos',['../component_2mclk_8h.html#af21d2c3cd0e5edba8c2ad9db99d97a37',1,'mclk.h']]],
['mclk_5fapbcmask_5fac_5fpos_23013',['MCLK_APBCMASK_AC_Pos',['../component_2mclk_8h.html#ae827c5e608f07904b393f4d5fcff1e48',1,'mclk.h']]],
['mclk_5fapbcmask_5faes_5fpos_23014',['MCLK_APBCMASK_AES_Pos',['../component_2mclk_8h.html#afe03bc583599946038f7e2de91be340c',1,'mclk.h']]],
['mclk_5fapbcmask_5fccl_5fpos_23015',['MCLK_APBCMASK_CCL_Pos',['../component_2mclk_8h.html#a4759ecc97b02d665427b2cb1a198e827',1,'mclk.h']]],
['mclk_5fapbcmask_5fgmac_5fpos_23016',['MCLK_APBCMASK_GMAC_Pos',['../component_2mclk_8h.html#aef22e33ee071b1ab1e044ebfec61ecc3',1,'mclk.h']]],
['mclk_5fapbcmask_5ficm_5fpos_23017',['MCLK_APBCMASK_ICM_Pos',['../component_2mclk_8h.html#ac1c36e384d318f08af381e7cf4e0b0f4',1,'mclk.h']]],
['mclk_5fapbcmask_5fmask_23018',['MCLK_APBCMASK_MASK',['../component_2mclk_8h.html#aecd8f6d7a986889c2f43a65a506bd42e',1,'mclk.h']]],
['mclk_5fapbcmask_5foffset_23019',['MCLK_APBCMASK_OFFSET',['../component_2mclk_8h.html#a9adc77e1bade5beba117c02998b80574',1,'mclk.h']]],
['mclk_5fapbcmask_5fpdec_5fpos_23020',['MCLK_APBCMASK_PDEC_Pos',['../component_2mclk_8h.html#a18d7a4cb62e3d42e28bb3d1824cf8898',1,'mclk.h']]],
['mclk_5fapbcmask_5fqspi_5fpos_23021',['MCLK_APBCMASK_QSPI_Pos',['../component_2mclk_8h.html#ab7812fa73c364fea3539d9ac04cf0bf7',1,'mclk.h']]],
['mclk_5fapbcmask_5fresetvalue_23022',['MCLK_APBCMASK_RESETVALUE',['../component_2mclk_8h.html#ad844382addaec4552ab75518ff2d7e74',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc4_5fpos_23023',['MCLK_APBCMASK_TC4_Pos',['../component_2mclk_8h.html#a7c886e1ff64370722c150d44200b66df',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc5_5fpos_23024',['MCLK_APBCMASK_TC5_Pos',['../component_2mclk_8h.html#a0da39e4b06b949c2cbb3e9b54637fb53',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc2_5fpos_23025',['MCLK_APBCMASK_TCC2_Pos',['../component_2mclk_8h.html#a4f871f80238ad9bbb73e2f8656a9e59d',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc3_5fpos_23026',['MCLK_APBCMASK_TCC3_Pos',['../component_2mclk_8h.html#a6be7119f990531600e4f658bd3df0c0a',1,'mclk.h']]],
['mclk_5fapbcmask_5ftrng_5fpos_23027',['MCLK_APBCMASK_TRNG_Pos',['../component_2mclk_8h.html#a398240eb1bf125624011e74222087b4f',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc0_5fpos_23028',['MCLK_APBDMASK_ADC0_Pos',['../component_2mclk_8h.html#aaa0f2c5eb74e0b65cc4338f29f2d69c4',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc1_5fpos_23029',['MCLK_APBDMASK_ADC1_Pos',['../component_2mclk_8h.html#aebfa8b7e9a270d649771d8f420fbc2e2',1,'mclk.h']]],
['mclk_5fapbdmask_5fdac_5fpos_23030',['MCLK_APBDMASK_DAC_Pos',['../component_2mclk_8h.html#a2d480786af05fb2fd66e9c85a987f855',1,'mclk.h']]],
['mclk_5fapbdmask_5fi2s_5fpos_23031',['MCLK_APBDMASK_I2S_Pos',['../component_2mclk_8h.html#a7675f312a66e9078d114360abaca0d69',1,'mclk.h']]],
['mclk_5fapbdmask_5fmask_23032',['MCLK_APBDMASK_MASK',['../component_2mclk_8h.html#ad7749cd8272a2d7fdb3faaeaa99e5268',1,'mclk.h']]],
['mclk_5fapbdmask_5foffset_23033',['MCLK_APBDMASK_OFFSET',['../component_2mclk_8h.html#a7a00f8f628fc059b0ffcc36d2343c212',1,'mclk.h']]],
['mclk_5fapbdmask_5fpcc_5fpos_23034',['MCLK_APBDMASK_PCC_Pos',['../component_2mclk_8h.html#ad4290756f92692a42c7bb1cfaf487d0f',1,'mclk.h']]],
['mclk_5fapbdmask_5fresetvalue_23035',['MCLK_APBDMASK_RESETVALUE',['../component_2mclk_8h.html#affe228d4015871770d9c2ac2d3e23349',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom4_5fpos_23036',['MCLK_APBDMASK_SERCOM4_Pos',['../component_2mclk_8h.html#ae97f2a7876a603ea76ee86f5e099c269',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom5_5fpos_23037',['MCLK_APBDMASK_SERCOM5_Pos',['../component_2mclk_8h.html#a0860f3d77409f156d996a776493af3a9',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom6_5fpos_23038',['MCLK_APBDMASK_SERCOM6_Pos',['../component_2mclk_8h.html#a1d520be70a0ed4fca667c58341d99620',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom7_5fpos_23039',['MCLK_APBDMASK_SERCOM7_Pos',['../component_2mclk_8h.html#a7d21e2207d86f11b75eb201011fee80e',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc6_5fpos_23040',['MCLK_APBDMASK_TC6_Pos',['../component_2mclk_8h.html#a5e5067b0ea3b8d950adeee60c7e201ef',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc7_5fpos_23041',['MCLK_APBDMASK_TC7_Pos',['../component_2mclk_8h.html#a6ea8876f7f253392f0aa16da779c4d8b',1,'mclk.h']]],
['mclk_5fapbdmask_5ftcc4_5fpos_23042',['MCLK_APBDMASK_TCC4_Pos',['../component_2mclk_8h.html#a11586f55c55a915e5d5ed0f8986abf5d',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv128_5fval_23043',['MCLK_CPUDIV_DIV_DIV128_Val',['../component_2mclk_8h.html#a4cfc1f32942c736f236075c22f1a4f81',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv16_5fval_23044',['MCLK_CPUDIV_DIV_DIV16_Val',['../component_2mclk_8h.html#ae681abf45271d52e20032f75fde3e7a4',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv1_5fval_23045',['MCLK_CPUDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#ae007ace127ccf52e63918793f78229b6',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv2_5fval_23046',['MCLK_CPUDIV_DIV_DIV2_Val',['../component_2mclk_8h.html#a86452934ee34c2237b4cb0a296bc0734',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv32_5fval_23047',['MCLK_CPUDIV_DIV_DIV32_Val',['../component_2mclk_8h.html#a19e3476ae76abd38b0eac2f62dd7be46',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv4_5fval_23048',['MCLK_CPUDIV_DIV_DIV4_Val',['../component_2mclk_8h.html#a0d458738f455dcb54c5aa2d0ffcfa616',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv64_5fval_23049',['MCLK_CPUDIV_DIV_DIV64_Val',['../component_2mclk_8h.html#aa91c616d0c486e08497d547619896a98',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv8_5fval_23050',['MCLK_CPUDIV_DIV_DIV8_Val',['../component_2mclk_8h.html#a67de4295c7d075c089f0c0280f95002b',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fpos_23051',['MCLK_CPUDIV_DIV_Pos',['../component_2mclk_8h.html#a4e0248a576cb2763bf8271787d56e3d6',1,'mclk.h']]],
['mclk_5fcpudiv_5fmask_23052',['MCLK_CPUDIV_MASK',['../component_2mclk_8h.html#ab671f30e14e4976663447bcdf727ea01',1,'mclk.h']]],
['mclk_5fcpudiv_5foffset_23053',['MCLK_CPUDIV_OFFSET',['../component_2mclk_8h.html#a6b856556e549869e608b1c9d9ca335ff',1,'mclk.h']]],
['mclk_5fcpudiv_5fresetvalue_23054',['MCLK_CPUDIV_RESETVALUE',['../component_2mclk_8h.html#ad5b446415ed860f94d2368945fa4b698',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fdiv1_5fval_23055',['MCLK_HSDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#a119ab5a20e98782d805cdf5c4501e712',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fpos_23056',['MCLK_HSDIV_DIV_Pos',['../component_2mclk_8h.html#a95894d35a451f22d21907122d7459c7c',1,'mclk.h']]],
['mclk_5fhsdiv_5fmask_23057',['MCLK_HSDIV_MASK',['../component_2mclk_8h.html#aaacdcc773f6047747e0c5be7e2f8e617',1,'mclk.h']]],
['mclk_5fhsdiv_5foffset_23058',['MCLK_HSDIV_OFFSET',['../component_2mclk_8h.html#ae0971c09918d1056399fd86900aa4890',1,'mclk.h']]],
['mclk_5fhsdiv_5fresetvalue_23059',['MCLK_HSDIV_RESETVALUE',['../component_2mclk_8h.html#ae6d3e536fec3cc26aaa6cd78c17d497b',1,'mclk.h']]],
['mclk_5finst_5fnum_23060',['MCLK_INST_NUM',['../same54n19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p20a.h']]],
['mclk_5finsts_23061',['MCLK_INSTS',['../same54n19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p20a.h']]],
['mclk_5fintenclr_5fckrdy_5fpos_23062',['MCLK_INTENCLR_CKRDY_Pos',['../component_2mclk_8h.html#aebdcc599e953e54697b2e40b5397496b',1,'mclk.h']]],
['mclk_5fintenclr_5fmask_23063',['MCLK_INTENCLR_MASK',['../component_2mclk_8h.html#a6389053110a48b3e28a1856e9379af38',1,'mclk.h']]],
['mclk_5fintenclr_5foffset_23064',['MCLK_INTENCLR_OFFSET',['../component_2mclk_8h.html#a68637b3f1fed0ccd3ae371c53992e406',1,'mclk.h']]],
['mclk_5fintenclr_5fresetvalue_23065',['MCLK_INTENCLR_RESETVALUE',['../component_2mclk_8h.html#ad173bb9dba9e1522a644dbde879829d4',1,'mclk.h']]],
['mclk_5fintenset_5fckrdy_5fpos_23066',['MCLK_INTENSET_CKRDY_Pos',['../component_2mclk_8h.html#ab9fefb6acd254d502c9ea81d010831ff',1,'mclk.h']]],
['mclk_5fintenset_5fmask_23067',['MCLK_INTENSET_MASK',['../component_2mclk_8h.html#aa5b62ad35b9bc2c164fea2457115e2b8',1,'mclk.h']]],
['mclk_5fintenset_5foffset_23068',['MCLK_INTENSET_OFFSET',['../component_2mclk_8h.html#ab0258927c23f68d0f403a91f68fdc1a8',1,'mclk.h']]],
['mclk_5fintenset_5fresetvalue_23069',['MCLK_INTENSET_RESETVALUE',['../component_2mclk_8h.html#a1a1d204e6b63e6fb14793fad6be480b3',1,'mclk.h']]],
['mclk_5fintflag_5fckrdy_5fpos_23070',['MCLK_INTFLAG_CKRDY_Pos',['../component_2mclk_8h.html#abef328de34a9da476fa9a626643999e5',1,'mclk.h']]],
['mclk_5fintflag_5fmask_23071',['MCLK_INTFLAG_MASK',['../component_2mclk_8h.html#a4d2f239e68ff5fba4b25397d91bed74a',1,'mclk.h']]],
['mclk_5fintflag_5foffset_23072',['MCLK_INTFLAG_OFFSET',['../component_2mclk_8h.html#af56eeb6ada43fe94d261d6d04336635b',1,'mclk.h']]],
['mclk_5fintflag_5fresetvalue_23073',['MCLK_INTFLAG_RESETVALUE',['../component_2mclk_8h.html#a8e5308a9ba8280b0e4f121e9d65a36a4',1,'mclk.h']]]
['mclk_23020',['MCLK',['../same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n19a.h'],['../same54n20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54n20a.h'],['../same54p19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p19a.h'],['../same54p20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK():&#160;same54p20a.h']]],
['mclk_5fahbmask_5fbkupram_5fpos_23021',['MCLK_AHBMASK_BKUPRAM_Pos',['../component_2mclk_8h.html#a51f341be2cd16552a79406a3df9fad31',1,'mclk.h']]],
['mclk_5fahbmask_5fcan0_5fpos_23022',['MCLK_AHBMASK_CAN0_Pos',['../component_2mclk_8h.html#ad5d5cfafc70ebe598b651e3496fcd4a4',1,'mclk.h']]],
['mclk_5fahbmask_5fcan1_5fpos_23023',['MCLK_AHBMASK_CAN1_Pos',['../component_2mclk_8h.html#a361fe28b52db9c858de0926bc72b5985',1,'mclk.h']]],
['mclk_5fahbmask_5fcmcc_5fpos_23024',['MCLK_AHBMASK_CMCC_Pos',['../component_2mclk_8h.html#a5114ee4fb624a1109ca8a35533050c91',1,'mclk.h']]],
['mclk_5fahbmask_5fdmac_5fpos_23025',['MCLK_AHBMASK_DMAC_Pos',['../component_2mclk_8h.html#a9777b9f4e5c6f15597d5d0f3c284a638',1,'mclk.h']]],
['mclk_5fahbmask_5fdsu_5fpos_23026',['MCLK_AHBMASK_DSU_Pos',['../component_2mclk_8h.html#af25c239a7914145d262614e4cea537b3',1,'mclk.h']]],
['mclk_5fahbmask_5fgmac_5fpos_23027',['MCLK_AHBMASK_GMAC_Pos',['../component_2mclk_8h.html#a90a6e6c304da25718d5535909d7765f9',1,'mclk.h']]],
['mclk_5fahbmask_5fhmatrix_5fpos_23028',['MCLK_AHBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#a0c382b9b2b318a564cf9769941fa7373',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb0_5fpos_23029',['MCLK_AHBMASK_HPB0_Pos',['../component_2mclk_8h.html#aab73df1970914c738e069aa3f44343f7',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb1_5fpos_23030',['MCLK_AHBMASK_HPB1_Pos',['../component_2mclk_8h.html#ad500245362fc27f25dbd1cd872403db5',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb2_5fpos_23031',['MCLK_AHBMASK_HPB2_Pos',['../component_2mclk_8h.html#ad85e221b80ccea1b2fb40ccd57361831',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb3_5fpos_23032',['MCLK_AHBMASK_HPB3_Pos',['../component_2mclk_8h.html#a0fde493e6b6f196f2f5097f561c77fa3',1,'mclk.h']]],
['mclk_5fahbmask_5fhsram_5fpos_23033',['MCLK_AHBMASK_HSRAM_Pos',['../component_2mclk_8h.html#a3a610cdaf2a0676797625dee122829f3',1,'mclk.h']]],
['mclk_5fahbmask_5ficm_5fpos_23034',['MCLK_AHBMASK_ICM_Pos',['../component_2mclk_8h.html#a96dc631a8955ba6a803b4a7e0f45456e',1,'mclk.h']]],
['mclk_5fahbmask_5fmask_23035',['MCLK_AHBMASK_MASK',['../component_2mclk_8h.html#a4ff9567101bdb1ceefebec27f64d817f',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fcache_5fpos_23036',['MCLK_AHBMASK_NVMCTRL_CACHE_Pos',['../component_2mclk_8h.html#a433eb3bd2eac386d68dadbeb476c412b',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fpos_23037',['MCLK_AHBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a81becb828c915d5aac7686148213cb7a',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fsmeeprom_5fpos_23038',['MCLK_AHBMASK_NVMCTRL_SMEEPROM_Pos',['../component_2mclk_8h.html#a893beae0d8a30df0cac8ac289280926a',1,'mclk.h']]],
['mclk_5fahbmask_5foffset_23039',['MCLK_AHBMASK_OFFSET',['../component_2mclk_8h.html#af60da772fd92f5ac2d24529a4edd5bcf',1,'mclk.h']]],
['mclk_5fahbmask_5fpac_5fpos_23040',['MCLK_AHBMASK_PAC_Pos',['../component_2mclk_8h.html#a25f30a1fcd43fb869b5594496df8d1f1',1,'mclk.h']]],
['mclk_5fahbmask_5fpukcc_5fpos_23041',['MCLK_AHBMASK_PUKCC_Pos',['../component_2mclk_8h.html#aced4a82f31a9b059bc0ce0372302cfc9',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5f2x_5fpos_23042',['MCLK_AHBMASK_QSPI_2X_Pos',['../component_2mclk_8h.html#addc4877eff69e76ab70162f511744c0b',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5fpos_23043',['MCLK_AHBMASK_QSPI_Pos',['../component_2mclk_8h.html#aadc7e0a4d4a8f204ff028e09185a6dbc',1,'mclk.h']]],
['mclk_5fahbmask_5fresetvalue_23044',['MCLK_AHBMASK_RESETVALUE',['../component_2mclk_8h.html#a7416e817fc3532e446cfae94a314c2c1',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc0_5fpos_23045',['MCLK_AHBMASK_SDHC0_Pos',['../component_2mclk_8h.html#a075eb14056d8b7fedd243795408bf542',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc1_5fpos_23046',['MCLK_AHBMASK_SDHC1_Pos',['../component_2mclk_8h.html#a33f14b34d3d4622e115b84d46bb9207a',1,'mclk.h']]],
['mclk_5fahbmask_5fusb_5fpos_23047',['MCLK_AHBMASK_USB_Pos',['../component_2mclk_8h.html#a58c4b1c4ee77bdcf758d0e74ec5b5abc',1,'mclk.h']]],
['mclk_5fapbamask_5feic_5fpos_23048',['MCLK_APBAMASK_EIC_Pos',['../component_2mclk_8h.html#a5071841327f5691fad5aaa64928723b4',1,'mclk.h']]],
['mclk_5fapbamask_5ffreqm_5fpos_23049',['MCLK_APBAMASK_FREQM_Pos',['../component_2mclk_8h.html#acf54f7ce88550526bfc9800583a180ae',1,'mclk.h']]],
['mclk_5fapbamask_5fgclk_5fpos_23050',['MCLK_APBAMASK_GCLK_Pos',['../component_2mclk_8h.html#a5fb09fb3c0885c6bf234d8021344b40b',1,'mclk.h']]],
['mclk_5fapbamask_5fmask_23051',['MCLK_APBAMASK_MASK',['../component_2mclk_8h.html#a85274982e658543846410257d8741368',1,'mclk.h']]],
['mclk_5fapbamask_5fmclk_5fpos_23052',['MCLK_APBAMASK_MCLK_Pos',['../component_2mclk_8h.html#a0db8d768734ebf5b9b0c4b32fff4ad02',1,'mclk.h']]],
['mclk_5fapbamask_5foffset_23053',['MCLK_APBAMASK_OFFSET',['../component_2mclk_8h.html#a0c6c90fc226352932e5d81fb51efebc0',1,'mclk.h']]],
['mclk_5fapbamask_5fosc32kctrl_5fpos_23054',['MCLK_APBAMASK_OSC32KCTRL_Pos',['../component_2mclk_8h.html#ac13c0587fd456465accd0efc6e769413',1,'mclk.h']]],
['mclk_5fapbamask_5foscctrl_5fpos_23055',['MCLK_APBAMASK_OSCCTRL_Pos',['../component_2mclk_8h.html#ac3c888b7b8de3be9e61afcf2aff6dff2',1,'mclk.h']]],
['mclk_5fapbamask_5fpac_5fpos_23056',['MCLK_APBAMASK_PAC_Pos',['../component_2mclk_8h.html#a961dc42788c4447477d905b3fa27c039',1,'mclk.h']]],
['mclk_5fapbamask_5fpm_5fpos_23057',['MCLK_APBAMASK_PM_Pos',['../component_2mclk_8h.html#a04293b17854c4a2862117cb1e92e90d9',1,'mclk.h']]],
['mclk_5fapbamask_5fresetvalue_23058',['MCLK_APBAMASK_RESETVALUE',['../component_2mclk_8h.html#a3d96d2b490df3f3164791e72baeabe60',1,'mclk.h']]],
['mclk_5fapbamask_5frstc_5fpos_23059',['MCLK_APBAMASK_RSTC_Pos',['../component_2mclk_8h.html#a23015f90db0192052e8d0a971684fa6e',1,'mclk.h']]],
['mclk_5fapbamask_5frtc_5fpos_23060',['MCLK_APBAMASK_RTC_Pos',['../component_2mclk_8h.html#a29bcfd311e7858237139c52fa164728d',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom0_5fpos_23061',['MCLK_APBAMASK_SERCOM0_Pos',['../component_2mclk_8h.html#a51a1701f5148614ae7068e73e4fa46df',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom1_5fpos_23062',['MCLK_APBAMASK_SERCOM1_Pos',['../component_2mclk_8h.html#ad7c8b0f983278890160b98943182947b',1,'mclk.h']]],
['mclk_5fapbamask_5fsupc_5fpos_23063',['MCLK_APBAMASK_SUPC_Pos',['../component_2mclk_8h.html#a9482e51359fc581f7c605977eb3685c5',1,'mclk.h']]],
['mclk_5fapbamask_5ftc0_5fpos_23064',['MCLK_APBAMASK_TC0_Pos',['../component_2mclk_8h.html#adafd8598fbe6998d741a4db76ae10819',1,'mclk.h']]],
['mclk_5fapbamask_5ftc1_5fpos_23065',['MCLK_APBAMASK_TC1_Pos',['../component_2mclk_8h.html#aadeb489d48264708c10f7d267b8a5365',1,'mclk.h']]],
['mclk_5fapbamask_5fwdt_5fpos_23066',['MCLK_APBAMASK_WDT_Pos',['../component_2mclk_8h.html#a65b51937fbcdf1a5d7418bbfd0cb3a4e',1,'mclk.h']]],
['mclk_5fapbbmask_5fdsu_5fpos_23067',['MCLK_APBBMASK_DSU_Pos',['../component_2mclk_8h.html#a04d6d13ad8ea21c5493c88e22b64900b',1,'mclk.h']]],
['mclk_5fapbbmask_5fevsys_5fpos_23068',['MCLK_APBBMASK_EVSYS_Pos',['../component_2mclk_8h.html#acfef8c4d439e6c9c2474a3dba130a9ee',1,'mclk.h']]],
['mclk_5fapbbmask_5fhmatrix_5fpos_23069',['MCLK_APBBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#ac9b7b578f7a12e80c52cb5f536ffbfc6',1,'mclk.h']]],
['mclk_5fapbbmask_5fmask_23070',['MCLK_APBBMASK_MASK',['../component_2mclk_8h.html#a17175a488d06a4b52abab2d654660456',1,'mclk.h']]],
['mclk_5fapbbmask_5fnvmctrl_5fpos_23071',['MCLK_APBBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a00e3c7c7c317a8a1a2e828ed35e38993',1,'mclk.h']]],
['mclk_5fapbbmask_5foffset_23072',['MCLK_APBBMASK_OFFSET',['../component_2mclk_8h.html#a8c35b6e1cf5ad6b7de3361646bc0510f',1,'mclk.h']]],
['mclk_5fapbbmask_5fport_5fpos_23073',['MCLK_APBBMASK_PORT_Pos',['../component_2mclk_8h.html#ae3ed73b717df511384b9192f5ff8a411',1,'mclk.h']]],
['mclk_5fapbbmask_5framecc_5fpos_23074',['MCLK_APBBMASK_RAMECC_Pos',['../component_2mclk_8h.html#a7a77772f140e3aeb2d58634ec4b1b206',1,'mclk.h']]],
['mclk_5fapbbmask_5fresetvalue_23075',['MCLK_APBBMASK_RESETVALUE',['../component_2mclk_8h.html#a7784f1cdd1314b91b3004070e1fc4b8b',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom2_5fpos_23076',['MCLK_APBBMASK_SERCOM2_Pos',['../component_2mclk_8h.html#ae601a75e252448b9b3ac8b7976bc06b4',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom3_5fpos_23077',['MCLK_APBBMASK_SERCOM3_Pos',['../component_2mclk_8h.html#a79d8ab6fd56bcdd7e7b512b076b86846',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc2_5fpos_23078',['MCLK_APBBMASK_TC2_Pos',['../component_2mclk_8h.html#acf6f153dbd6855a2250546f855a8c781',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc3_5fpos_23079',['MCLK_APBBMASK_TC3_Pos',['../component_2mclk_8h.html#a7365f0e61af5bf7f97d074e8f3987d54',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc0_5fpos_23080',['MCLK_APBBMASK_TCC0_Pos',['../component_2mclk_8h.html#a49d92e93709a54e3d7d92f7c14a9cec1',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc1_5fpos_23081',['MCLK_APBBMASK_TCC1_Pos',['../component_2mclk_8h.html#a481a03f2e4cace02a94025c9e0790da5',1,'mclk.h']]],
['mclk_5fapbbmask_5fusb_5fpos_23082',['MCLK_APBBMASK_USB_Pos',['../component_2mclk_8h.html#af21d2c3cd0e5edba8c2ad9db99d97a37',1,'mclk.h']]],
['mclk_5fapbcmask_5fac_5fpos_23083',['MCLK_APBCMASK_AC_Pos',['../component_2mclk_8h.html#ae827c5e608f07904b393f4d5fcff1e48',1,'mclk.h']]],
['mclk_5fapbcmask_5faes_5fpos_23084',['MCLK_APBCMASK_AES_Pos',['../component_2mclk_8h.html#afe03bc583599946038f7e2de91be340c',1,'mclk.h']]],
['mclk_5fapbcmask_5fccl_5fpos_23085',['MCLK_APBCMASK_CCL_Pos',['../component_2mclk_8h.html#a4759ecc97b02d665427b2cb1a198e827',1,'mclk.h']]],
['mclk_5fapbcmask_5fgmac_5fpos_23086',['MCLK_APBCMASK_GMAC_Pos',['../component_2mclk_8h.html#aef22e33ee071b1ab1e044ebfec61ecc3',1,'mclk.h']]],
['mclk_5fapbcmask_5ficm_5fpos_23087',['MCLK_APBCMASK_ICM_Pos',['../component_2mclk_8h.html#ac1c36e384d318f08af381e7cf4e0b0f4',1,'mclk.h']]],
['mclk_5fapbcmask_5fmask_23088',['MCLK_APBCMASK_MASK',['../component_2mclk_8h.html#aecd8f6d7a986889c2f43a65a506bd42e',1,'mclk.h']]],
['mclk_5fapbcmask_5foffset_23089',['MCLK_APBCMASK_OFFSET',['../component_2mclk_8h.html#a9adc77e1bade5beba117c02998b80574',1,'mclk.h']]],
['mclk_5fapbcmask_5fpdec_5fpos_23090',['MCLK_APBCMASK_PDEC_Pos',['../component_2mclk_8h.html#a18d7a4cb62e3d42e28bb3d1824cf8898',1,'mclk.h']]],
['mclk_5fapbcmask_5fqspi_5fpos_23091',['MCLK_APBCMASK_QSPI_Pos',['../component_2mclk_8h.html#ab7812fa73c364fea3539d9ac04cf0bf7',1,'mclk.h']]],
['mclk_5fapbcmask_5fresetvalue_23092',['MCLK_APBCMASK_RESETVALUE',['../component_2mclk_8h.html#ad844382addaec4552ab75518ff2d7e74',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc4_5fpos_23093',['MCLK_APBCMASK_TC4_Pos',['../component_2mclk_8h.html#a7c886e1ff64370722c150d44200b66df',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc5_5fpos_23094',['MCLK_APBCMASK_TC5_Pos',['../component_2mclk_8h.html#a0da39e4b06b949c2cbb3e9b54637fb53',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc2_5fpos_23095',['MCLK_APBCMASK_TCC2_Pos',['../component_2mclk_8h.html#a4f871f80238ad9bbb73e2f8656a9e59d',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc3_5fpos_23096',['MCLK_APBCMASK_TCC3_Pos',['../component_2mclk_8h.html#a6be7119f990531600e4f658bd3df0c0a',1,'mclk.h']]],
['mclk_5fapbcmask_5ftrng_5fpos_23097',['MCLK_APBCMASK_TRNG_Pos',['../component_2mclk_8h.html#a398240eb1bf125624011e74222087b4f',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc0_5fpos_23098',['MCLK_APBDMASK_ADC0_Pos',['../component_2mclk_8h.html#aaa0f2c5eb74e0b65cc4338f29f2d69c4',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc1_5fpos_23099',['MCLK_APBDMASK_ADC1_Pos',['../component_2mclk_8h.html#aebfa8b7e9a270d649771d8f420fbc2e2',1,'mclk.h']]],
['mclk_5fapbdmask_5fdac_5fpos_23100',['MCLK_APBDMASK_DAC_Pos',['../component_2mclk_8h.html#a2d480786af05fb2fd66e9c85a987f855',1,'mclk.h']]],
['mclk_5fapbdmask_5fi2s_5fpos_23101',['MCLK_APBDMASK_I2S_Pos',['../component_2mclk_8h.html#a7675f312a66e9078d114360abaca0d69',1,'mclk.h']]],
['mclk_5fapbdmask_5fmask_23102',['MCLK_APBDMASK_MASK',['../component_2mclk_8h.html#ad7749cd8272a2d7fdb3faaeaa99e5268',1,'mclk.h']]],
['mclk_5fapbdmask_5foffset_23103',['MCLK_APBDMASK_OFFSET',['../component_2mclk_8h.html#a7a00f8f628fc059b0ffcc36d2343c212',1,'mclk.h']]],
['mclk_5fapbdmask_5fpcc_5fpos_23104',['MCLK_APBDMASK_PCC_Pos',['../component_2mclk_8h.html#ad4290756f92692a42c7bb1cfaf487d0f',1,'mclk.h']]],
['mclk_5fapbdmask_5fresetvalue_23105',['MCLK_APBDMASK_RESETVALUE',['../component_2mclk_8h.html#affe228d4015871770d9c2ac2d3e23349',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom4_5fpos_23106',['MCLK_APBDMASK_SERCOM4_Pos',['../component_2mclk_8h.html#ae97f2a7876a603ea76ee86f5e099c269',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom5_5fpos_23107',['MCLK_APBDMASK_SERCOM5_Pos',['../component_2mclk_8h.html#a0860f3d77409f156d996a776493af3a9',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom6_5fpos_23108',['MCLK_APBDMASK_SERCOM6_Pos',['../component_2mclk_8h.html#a1d520be70a0ed4fca667c58341d99620',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom7_5fpos_23109',['MCLK_APBDMASK_SERCOM7_Pos',['../component_2mclk_8h.html#a7d21e2207d86f11b75eb201011fee80e',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc6_5fpos_23110',['MCLK_APBDMASK_TC6_Pos',['../component_2mclk_8h.html#a5e5067b0ea3b8d950adeee60c7e201ef',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc7_5fpos_23111',['MCLK_APBDMASK_TC7_Pos',['../component_2mclk_8h.html#a6ea8876f7f253392f0aa16da779c4d8b',1,'mclk.h']]],
['mclk_5fapbdmask_5ftcc4_5fpos_23112',['MCLK_APBDMASK_TCC4_Pos',['../component_2mclk_8h.html#a11586f55c55a915e5d5ed0f8986abf5d',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv128_5fval_23113',['MCLK_CPUDIV_DIV_DIV128_Val',['../component_2mclk_8h.html#a4cfc1f32942c736f236075c22f1a4f81',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv16_5fval_23114',['MCLK_CPUDIV_DIV_DIV16_Val',['../component_2mclk_8h.html#ae681abf45271d52e20032f75fde3e7a4',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv1_5fval_23115',['MCLK_CPUDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#ae007ace127ccf52e63918793f78229b6',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv2_5fval_23116',['MCLK_CPUDIV_DIV_DIV2_Val',['../component_2mclk_8h.html#a86452934ee34c2237b4cb0a296bc0734',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv32_5fval_23117',['MCLK_CPUDIV_DIV_DIV32_Val',['../component_2mclk_8h.html#a19e3476ae76abd38b0eac2f62dd7be46',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv4_5fval_23118',['MCLK_CPUDIV_DIV_DIV4_Val',['../component_2mclk_8h.html#a0d458738f455dcb54c5aa2d0ffcfa616',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv64_5fval_23119',['MCLK_CPUDIV_DIV_DIV64_Val',['../component_2mclk_8h.html#aa91c616d0c486e08497d547619896a98',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv8_5fval_23120',['MCLK_CPUDIV_DIV_DIV8_Val',['../component_2mclk_8h.html#a67de4295c7d075c089f0c0280f95002b',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fpos_23121',['MCLK_CPUDIV_DIV_Pos',['../component_2mclk_8h.html#a4e0248a576cb2763bf8271787d56e3d6',1,'mclk.h']]],
['mclk_5fcpudiv_5fmask_23122',['MCLK_CPUDIV_MASK',['../component_2mclk_8h.html#ab671f30e14e4976663447bcdf727ea01',1,'mclk.h']]],
['mclk_5fcpudiv_5foffset_23123',['MCLK_CPUDIV_OFFSET',['../component_2mclk_8h.html#a6b856556e549869e608b1c9d9ca335ff',1,'mclk.h']]],
['mclk_5fcpudiv_5fresetvalue_23124',['MCLK_CPUDIV_RESETVALUE',['../component_2mclk_8h.html#ad5b446415ed860f94d2368945fa4b698',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fdiv1_5fval_23125',['MCLK_HSDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#a119ab5a20e98782d805cdf5c4501e712',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fpos_23126',['MCLK_HSDIV_DIV_Pos',['../component_2mclk_8h.html#a95894d35a451f22d21907122d7459c7c',1,'mclk.h']]],
['mclk_5fhsdiv_5fmask_23127',['MCLK_HSDIV_MASK',['../component_2mclk_8h.html#aaacdcc773f6047747e0c5be7e2f8e617',1,'mclk.h']]],
['mclk_5fhsdiv_5foffset_23128',['MCLK_HSDIV_OFFSET',['../component_2mclk_8h.html#ae0971c09918d1056399fd86900aa4890',1,'mclk.h']]],
['mclk_5fhsdiv_5fresetvalue_23129',['MCLK_HSDIV_RESETVALUE',['../component_2mclk_8h.html#ae6d3e536fec3cc26aaa6cd78c17d497b',1,'mclk.h']]],
['mclk_5finst_5fnum_23130',['MCLK_INST_NUM',['../same54n19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM():&#160;same54p20a.h']]],
['mclk_5finsts_23131',['MCLK_INSTS',['../same54n19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS():&#160;same54p20a.h']]],
['mclk_5fintenclr_5fckrdy_5fpos_23132',['MCLK_INTENCLR_CKRDY_Pos',['../component_2mclk_8h.html#aebdcc599e953e54697b2e40b5397496b',1,'mclk.h']]],
['mclk_5fintenclr_5fmask_23133',['MCLK_INTENCLR_MASK',['../component_2mclk_8h.html#a6389053110a48b3e28a1856e9379af38',1,'mclk.h']]],
['mclk_5fintenclr_5foffset_23134',['MCLK_INTENCLR_OFFSET',['../component_2mclk_8h.html#a68637b3f1fed0ccd3ae371c53992e406',1,'mclk.h']]],
['mclk_5fintenclr_5fresetvalue_23135',['MCLK_INTENCLR_RESETVALUE',['../component_2mclk_8h.html#ad173bb9dba9e1522a644dbde879829d4',1,'mclk.h']]],
['mclk_5fintenset_5fckrdy_5fpos_23136',['MCLK_INTENSET_CKRDY_Pos',['../component_2mclk_8h.html#ab9fefb6acd254d502c9ea81d010831ff',1,'mclk.h']]],
['mclk_5fintenset_5fmask_23137',['MCLK_INTENSET_MASK',['../component_2mclk_8h.html#aa5b62ad35b9bc2c164fea2457115e2b8',1,'mclk.h']]],
['mclk_5fintenset_5foffset_23138',['MCLK_INTENSET_OFFSET',['../component_2mclk_8h.html#ab0258927c23f68d0f403a91f68fdc1a8',1,'mclk.h']]],
['mclk_5fintenset_5fresetvalue_23139',['MCLK_INTENSET_RESETVALUE',['../component_2mclk_8h.html#a1a1d204e6b63e6fb14793fad6be480b3',1,'mclk.h']]],
['mclk_5fintflag_5fckrdy_5fpos_23140',['MCLK_INTFLAG_CKRDY_Pos',['../component_2mclk_8h.html#abef328de34a9da476fa9a626643999e5',1,'mclk.h']]],
['mclk_5fintflag_5fmask_23141',['MCLK_INTFLAG_MASK',['../component_2mclk_8h.html#a4d2f239e68ff5fba4b25397d91bed74a',1,'mclk.h']]],
['mclk_5fintflag_5foffset_23142',['MCLK_INTFLAG_OFFSET',['../component_2mclk_8h.html#af56eeb6ada43fe94d261d6d04336635b',1,'mclk.h']]],
['mclk_5fintflag_5fresetvalue_23143',['MCLK_INTFLAG_RESETVALUE',['../component_2mclk_8h.html#a8e5308a9ba8280b0e4f121e9d65a36a4',1,'mclk.h']]]
];

@ -1,174 +1,174 @@
var searchData=
[
['nvmctrl_23074',['NVMCTRL',['../same54n19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p20a.h']]],
['nvmctrl_5faddr_5faddr_5fpos_23075',['NVMCTRL_ADDR_ADDR_Pos',['../component_2nvmctrl_8h.html#a7af974b171527d13bf216b3d5ef5650b',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fmask_23076',['NVMCTRL_ADDR_MASK',['../component_2nvmctrl_8h.html#ab7e62000f793147227a50fa0217a0efd',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5foffset_23077',['NVMCTRL_ADDR_OFFSET',['../component_2nvmctrl_8h.html#a2540268cdeb3644aa722cb8cce5546c8',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fresetvalue_23078',['NVMCTRL_ADDR_RESETVALUE',['../component_2nvmctrl_8h.html#a150652b364483d20d947140a67e2fe25',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns0_5fpos_23079',['NVMCTRL_CTRLA_AHBNS0_Pos',['../component_2nvmctrl_8h.html#aaf7319d1b7b994e5238f58155453a3bd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns1_5fpos_23080',['NVMCTRL_CTRLA_AHBNS1_Pos',['../component_2nvmctrl_8h.html#a657739dc21d96ce606df063bbcabb531',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fautows_5fpos_23081',['NVMCTRL_CTRLA_AUTOWS_Pos',['../component_2nvmctrl_8h.html#a257e603f4a7174e1d635448e228bfc07',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis0_5fpos_23082',['NVMCTRL_CTRLA_CACHEDIS0_Pos',['../component_2nvmctrl_8h.html#a4ecb1a6ccfe7b8164364078aac486806',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis1_5fpos_23083',['NVMCTRL_CTRLA_CACHEDIS1_Pos',['../component_2nvmctrl_8h.html#a80271bf72ecf3941808bbbd26df4f55c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fmask_23084',['NVMCTRL_CTRLA_MASK',['../component_2nvmctrl_8h.html#a59f02a3ccafaec97563b7369c88d548c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5foffset_23085',['NVMCTRL_CTRLA_OFFSET',['../component_2nvmctrl_8h.html#a4853195148a26c13e84af06b27099a5a',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5ffullauto_5fval_23086',['NVMCTRL_CTRLA_PRM_FULLAUTO_Val',['../component_2nvmctrl_8h.html#adb5e8d0001604c78e97b4df1d79c8bd2',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fmanual_5fval_23087',['NVMCTRL_CTRLA_PRM_MANUAL_Val',['../component_2nvmctrl_8h.html#a2eb1d721aa675d6e611dd76a807ca656',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fpos_23088',['NVMCTRL_CTRLA_PRM_Pos',['../component_2nvmctrl_8h.html#a0f41c800b0d4a71442b2386ed1cbf40e',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fsemiauto_5fval_23089',['NVMCTRL_CTRLA_PRM_SEMIAUTO_Val',['../component_2nvmctrl_8h.html#aac7012aec3df3a960aa7429f44d351e5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fresetvalue_23090',['NVMCTRL_CTRLA_RESETVALUE',['../component_2nvmctrl_8h.html#afda7d874f8e5f91f9590105c7bc984b5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5frws_5fpos_23091',['NVMCTRL_CTRLA_RWS_Pos',['../component_2nvmctrl_8h.html#a79492707dae78f3e00d769fc45a33fd3',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fsuspen_5fpos_23092',['NVMCTRL_CTRLA_SUSPEN_Pos',['../component_2nvmctrl_8h.html#a6aab60ee7f62c471607703ddd3b7b6e4',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fadw_5fval_23093',['NVMCTRL_CTRLA_WMODE_ADW_Val',['../component_2nvmctrl_8h.html#a339f6e3dd7fd6de30c66c844b0387e8d',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fap_5fval_23094',['NVMCTRL_CTRLA_WMODE_AP_Val',['../component_2nvmctrl_8h.html#a01fc2f0de453700c5253ca7aefe693c5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5faqw_5fval_23095',['NVMCTRL_CTRLA_WMODE_AQW_Val',['../component_2nvmctrl_8h.html#a13be0616ab7d1862c3ec88ba15e65588',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fman_5fval_23096',['NVMCTRL_CTRLA_WMODE_MAN_Val',['../component_2nvmctrl_8h.html#a45ac920f783317e834656f1918eaebbd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fpos_23097',['NVMCTRL_CTRLA_WMODE_Pos',['../component_2nvmctrl_8h.html#a42f77205ee1d60ab6bde5ce2f96d81a4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees0_5fval_23098',['NVMCTRL_CTRLB_CMD_ASEES0_Val',['../component_2nvmctrl_8h.html#a026140326eacb0754ddca2c6cb722081',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees1_5fval_23099',['NVMCTRL_CTRLB_CMD_ASEES1_Val',['../component_2nvmctrl_8h.html#a4f80ee71f9e77eec26ae1185aefaada2',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fbkswrst_5fval_23100',['NVMCTRL_CTRLB_CMD_BKSWRST_Val',['../component_2nvmctrl_8h.html#a6f03386bf7b354fda9387d2c52db86d1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcbpdis_5fval_23101',['NVMCTRL_CTRLB_CMD_CBPDIS_Val',['../component_2nvmctrl_8h.html#a5a999f4f70c43b441a20c76c5ca8cc5c',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcelck_5fval_23102',['NVMCTRL_CTRLB_CMD_CELCK_Val',['../component_2nvmctrl_8h.html#a09c93870736f457ba8987109ae500640',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fceulck_5fval_23103',['NVMCTRL_CTRLB_CMD_CEULCK_Val',['../component_2nvmctrl_8h.html#ab97117cbba584df4bf0620b6a40ef844',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcprm_5fval_23104',['NVMCTRL_CTRLB_CMD_CPRM_Val',['../component_2nvmctrl_8h.html#ac190c581f785840caad085267458456e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5feb_5fval_23105',['NVMCTRL_CTRLB_CMD_EB_Val',['../component_2nvmctrl_8h.html#a0ed209557388aeb8be92cf517c864dcb',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fep_5fval_23106',['NVMCTRL_CTRLB_CMD_EP_Val',['../component_2nvmctrl_8h.html#adf1f5d8474585fee271f0962685af28d',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flr_5fval_23107',['NVMCTRL_CTRLB_CMD_LR_Val',['../component_2nvmctrl_8h.html#aac904813b0b4baaf6bc705eba9492484',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flsee_5fval_23108',['NVMCTRL_CTRLB_CMD_LSEE_Val',['../component_2nvmctrl_8h.html#a8b5bfcf07c93cafca14275059bae1063',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flseer_5fval_23109',['NVMCTRL_CTRLB_CMD_LSEER_Val',['../component_2nvmctrl_8h.html#a424082dbd173d10a016ce39edf650ac4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpbc_5fval_23110',['NVMCTRL_CTRLB_CMD_PBC_Val',['../component_2nvmctrl_8h.html#ab61f899ee1eb9d625ec3f4d2a17c9474',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpos_23111',['NVMCTRL_CTRLB_CMD_Pos',['../component_2nvmctrl_8h.html#ab5ece0aea3c767970b7e9a36d8ff5b09',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsbpdis_5fval_23112',['NVMCTRL_CTRLB_CMD_SBPDIS_Val',['../component_2nvmctrl_8h.html#a0b282c1f3bd4b7133f583caf095bd557',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeflush_5fval_23113',['NVMCTRL_CTRLB_CMD_SEEFLUSH_Val',['../component_2nvmctrl_8h.html#ae3721546ed0ce85d258030640db36cdf',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeraloc_5fval_23114',['NVMCTRL_CTRLB_CMD_SEERALOC_Val',['../component_2nvmctrl_8h.html#af7e06bd5d2cfb32c1840887fd46748c1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsprm_5fval_23115',['NVMCTRL_CTRLB_CMD_SPRM_Val',['../component_2nvmctrl_8h.html#ab6548cf96c5a7fd1b260f9be53ed6ced',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fssb_5fval_23116',['NVMCTRL_CTRLB_CMD_SSB_Val',['../component_2nvmctrl_8h.html#aadf20f72205d9c9fd72880936f9ee01f',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fswrst_5fval_23117',['NVMCTRL_CTRLB_CMD_SWRST_Val',['../component_2nvmctrl_8h.html#a6c2019650a4cd908d3ea5a338beb6ff4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fur_5fval_23118',['NVMCTRL_CTRLB_CMD_UR_Val',['../component_2nvmctrl_8h.html#a6ace8977becb3c48a551ab660ce60304',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fusee_5fval_23119',['NVMCTRL_CTRLB_CMD_USEE_Val',['../component_2nvmctrl_8h.html#af2c5cda4f74dd820709364d368d94d2e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fuseer_5fval_23120',['NVMCTRL_CTRLB_CMD_USEER_Val',['../component_2nvmctrl_8h.html#ac63d1fd2efbde3aebed27b21eb0c16e8',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwp_5fval_23121',['NVMCTRL_CTRLB_CMD_WP_Val',['../component_2nvmctrl_8h.html#a5d327d2e6f86cb3fbd2b6b4fd7cdd68e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwqw_5fval_23122',['NVMCTRL_CTRLB_CMD_WQW_Val',['../component_2nvmctrl_8h.html#af34525bef09fb504163897eef0150037',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fkey_5fval_23123',['NVMCTRL_CTRLB_CMDEX_KEY_Val',['../component_2nvmctrl_8h.html#ab22f4e79b9b7373890149b2fe0966192',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fpos_23124',['NVMCTRL_CTRLB_CMDEX_Pos',['../component_2nvmctrl_8h.html#aeea03491d70dfffbc8667e69e5aabca6',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fmask_23125',['NVMCTRL_CTRLB_MASK',['../component_2nvmctrl_8h.html#aaf2272ac3ee696dfe0d222a87bc7cbe1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5foffset_23126',['NVMCTRL_CTRLB_OFFSET',['../component_2nvmctrl_8h.html#a96b31df212035cedc4f6a370222e5b67',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fresetvalue_23127',['NVMCTRL_CTRLB_RESETVALUE',['../component_2nvmctrl_8h.html#ac0a835cf0ee617133dc445147d2af448',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccdis_5fpos_23128',['NVMCTRL_DBGCTRL_ECCDIS_Pos',['../component_2nvmctrl_8h.html#a4b0945afc4044065bbc12602111b7203',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccelog_5fpos_23129',['NVMCTRL_DBGCTRL_ECCELOG_Pos',['../component_2nvmctrl_8h.html#aa58da7471715cdc607e846adaf1ec734',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fmask_23130',['NVMCTRL_DBGCTRL_MASK',['../component_2nvmctrl_8h.html#a206ada9fc0b8e925dc51272da5dff233',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5foffset_23131',['NVMCTRL_DBGCTRL_OFFSET',['../component_2nvmctrl_8h.html#abfc10a800250b88bc1aa6893d7f7807a',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fresetvalue_23132',['NVMCTRL_DBGCTRL_RESETVALUE',['../component_2nvmctrl_8h.html#a3caa773b6cf8933d60f4e96611415cdc',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5faddr_5fpos_23133',['NVMCTRL_ECCERR_ADDR_Pos',['../component_2nvmctrl_8h.html#a01bfc438608a32f2a18d81190a42b6b9',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fmask_23134',['NVMCTRL_ECCERR_MASK',['../component_2nvmctrl_8h.html#a2e98f8b8bdb2b318dcf8174add395063',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5foffset_23135',['NVMCTRL_ECCERR_OFFSET',['../component_2nvmctrl_8h.html#abd3a5dd0cfe3b66f6386e4f74b8fa85b',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fresetvalue_23136',['NVMCTRL_ECCERR_RESETVALUE',['../component_2nvmctrl_8h.html#ab797fb0ea4d59d05e33853bfdf7c1107',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fdual_5fval_23137',['NVMCTRL_ECCERR_TYPEH_DUAL_Val',['../component_2nvmctrl_8h.html#a458bd93782ff9661b5f6642cae0d50df',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fnone_5fval_23138',['NVMCTRL_ECCERR_TYPEH_NONE_Val',['../component_2nvmctrl_8h.html#aca1b74f0878dd8da1e328b08cff5e814',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fpos_23139',['NVMCTRL_ECCERR_TYPEH_Pos',['../component_2nvmctrl_8h.html#a0e34b7e5cff130c34ded4efec79fd9a1',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fsingle_5fval_23140',['NVMCTRL_ECCERR_TYPEH_SINGLE_Val',['../component_2nvmctrl_8h.html#a4f3f4387989bdb1fec66d5c8d38feb4e',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fdual_5fval_23141',['NVMCTRL_ECCERR_TYPEL_DUAL_Val',['../component_2nvmctrl_8h.html#a4db2a69ae48460249c3e8581e0fa2f27',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fnone_5fval_23142',['NVMCTRL_ECCERR_TYPEL_NONE_Val',['../component_2nvmctrl_8h.html#ad6c760c325868b652ea8549160dbfdea',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fpos_23143',['NVMCTRL_ECCERR_TYPEL_Pos',['../component_2nvmctrl_8h.html#a773b71ff442b075e909c294c8b32df20',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fsingle_5fval_23144',['NVMCTRL_ECCERR_TYPEL_SINGLE_Val',['../component_2nvmctrl_8h.html#a7181020ba94941e46f81935a64ca66ad',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fbootprot_5fpos_23145',['NVMCTRL_FUSES_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#ac2867e0c1d1fc1b327991134a24983ca',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fregion_5flocks_5fpos_23146',['NVMCTRL_FUSES_REGION_LOCKS_Pos',['../component_2nvmctrl_8h.html#a553b4d761e65080381c6af440abee0f0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseepsz_5fpos_23147',['NVMCTRL_FUSES_SEEPSZ_Pos',['../component_2nvmctrl_8h.html#ad8edf28ff4e7b8bb4fe9ffacbd1050a0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseesblk_5fpos_23148',['NVMCTRL_FUSES_SEESBLK_Pos',['../component_2nvmctrl_8h.html#a57166c3b4b4ee40c95300889a7c0ebd8',1,'nvmctrl.h']]],
['nvmctrl_5finst_5fnum_23149',['NVMCTRL_INST_NUM',['../same54n19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p20a.h']]],
['nvmctrl_5finsts_23150',['NVMCTRL_INSTS',['../same54n19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p20a.h']]],
['nvmctrl_5fintenclr_5faddre_5fpos_23151',['NVMCTRL_INTENCLR_ADDRE_Pos',['../component_2nvmctrl_8h.html#a008fce13f42375fa0ec8dfa8ee6e25ad',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fdone_5fpos_23152',['NVMCTRL_INTENCLR_DONE_Pos',['../component_2nvmctrl_8h.html#ab303d4dd896628711c798a2d81c243e9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccde_5fpos_23153',['NVMCTRL_INTENCLR_ECCDE_Pos',['../component_2nvmctrl_8h.html#a014cf0f8bd9d879896f26f9aa95b1d5f',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccse_5fpos_23154',['NVMCTRL_INTENCLR_ECCSE_Pos',['../component_2nvmctrl_8h.html#a86ceb9ac83904784c8ad062991023a48',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5flocke_5fpos_23155',['NVMCTRL_INTENCLR_LOCKE_Pos',['../component_2nvmctrl_8h.html#ac1fa728d12605ea718efcc90936647d0',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fmask_23156',['NVMCTRL_INTENCLR_MASK',['../component_2nvmctrl_8h.html#a399cac515ae8b6bfd864314732defbe9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fnvme_5fpos_23157',['NVMCTRL_INTENCLR_NVME_Pos',['../component_2nvmctrl_8h.html#af50b2e19d9eafcb99eb73dc8e63236d9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5foffset_23158',['NVMCTRL_INTENCLR_OFFSET',['../component_2nvmctrl_8h.html#af69e18ecea21ffbf47dc28f66161a768',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fproge_5fpos_23159',['NVMCTRL_INTENCLR_PROGE_Pos',['../component_2nvmctrl_8h.html#a52b68cb512c19b5711f09698f6b93493',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fresetvalue_23160',['NVMCTRL_INTENCLR_RESETVALUE',['../component_2nvmctrl_8h.html#acc5d7dab614b1d4e05402a8c97de3954',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesfull_5fpos_23161',['NVMCTRL_INTENCLR_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a1790fb571650fdddf88e6901335be515',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesovf_5fpos_23162',['NVMCTRL_INTENCLR_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a743129233f8516c29b12e381951077dd',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseewrc_5fpos_23163',['NVMCTRL_INTENCLR_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af2ac2b08e506101132051821be8847c2',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fsusp_5fpos_23164',['NVMCTRL_INTENCLR_SUSP_Pos',['../component_2nvmctrl_8h.html#a8c0417f3d672b2fd21a2864eb416d1f8',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5faddre_5fpos_23165',['NVMCTRL_INTENSET_ADDRE_Pos',['../component_2nvmctrl_8h.html#a7ce68e10f261102ba48b958d85fa3e97',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fdone_5fpos_23166',['NVMCTRL_INTENSET_DONE_Pos',['../component_2nvmctrl_8h.html#a0a8101c9e2b9d16bc4a8a1edbb3ff97a',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccde_5fpos_23167',['NVMCTRL_INTENSET_ECCDE_Pos',['../component_2nvmctrl_8h.html#aaf23d6f9f57daf3723ba6c3bf85a1ffd',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccse_5fpos_23168',['NVMCTRL_INTENSET_ECCSE_Pos',['../component_2nvmctrl_8h.html#ae004bc06eb8e11c5a364b0de5edf369f',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5flocke_5fpos_23169',['NVMCTRL_INTENSET_LOCKE_Pos',['../component_2nvmctrl_8h.html#a83f6cb52007a64ba21de6b059c78a530',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fmask_23170',['NVMCTRL_INTENSET_MASK',['../component_2nvmctrl_8h.html#ac49294b6f689477f53cabe7aba8a4975',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fnvme_5fpos_23171',['NVMCTRL_INTENSET_NVME_Pos',['../component_2nvmctrl_8h.html#a05655c435fcc534d5b7aee5f88809a70',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5foffset_23172',['NVMCTRL_INTENSET_OFFSET',['../component_2nvmctrl_8h.html#acbc15881a076ca6d111540304e571a20',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fproge_5fpos_23173',['NVMCTRL_INTENSET_PROGE_Pos',['../component_2nvmctrl_8h.html#ab0e7649e23ebb619b9f3cba68aa1650e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fresetvalue_23174',['NVMCTRL_INTENSET_RESETVALUE',['../component_2nvmctrl_8h.html#ad48eea420ef04c6f0c75a074991ad6d6',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesfull_5fpos_23175',['NVMCTRL_INTENSET_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a7114d359880d3c94e97b543c6e6ea9cf',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesovf_5fpos_23176',['NVMCTRL_INTENSET_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a98a8f6fcee8a62386dbc640ad5e1979e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseewrc_5fpos_23177',['NVMCTRL_INTENSET_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af1c59aa0745e03430697b51742b6c2ba',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fsusp_5fpos_23178',['NVMCTRL_INTENSET_SUSP_Pos',['../component_2nvmctrl_8h.html#a59b343b6ae84f68d8b6e2230f88fbd0d',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5faddre_5fpos_23179',['NVMCTRL_INTFLAG_ADDRE_Pos',['../component_2nvmctrl_8h.html#a108e21dc370bd9b52bd26614cdf00631',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fdone_5fpos_23180',['NVMCTRL_INTFLAG_DONE_Pos',['../component_2nvmctrl_8h.html#a22999317a65e0e9d3222617351fc58d1',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccde_5fpos_23181',['NVMCTRL_INTFLAG_ECCDE_Pos',['../component_2nvmctrl_8h.html#a9080bb2d763386195ec46a250d7a2089',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccse_5fpos_23182',['NVMCTRL_INTFLAG_ECCSE_Pos',['../component_2nvmctrl_8h.html#aff9c4e86b71922736f8e53c1cd89eb9f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5flocke_5fpos_23183',['NVMCTRL_INTFLAG_LOCKE_Pos',['../component_2nvmctrl_8h.html#a46d0a99797eecef352c91b78662af79b',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fmask_23184',['NVMCTRL_INTFLAG_MASK',['../component_2nvmctrl_8h.html#a77cb82e875b1988ba35455d842df74c5',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fnvme_5fpos_23185',['NVMCTRL_INTFLAG_NVME_Pos',['../component_2nvmctrl_8h.html#aa646db9f5e0c170cbd083c99061dab17',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5foffset_23186',['NVMCTRL_INTFLAG_OFFSET',['../component_2nvmctrl_8h.html#a28bff79f1cf058fa8a6c7072f5913862',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fproge_5fpos_23187',['NVMCTRL_INTFLAG_PROGE_Pos',['../component_2nvmctrl_8h.html#a07cf1320b7671fcff3ed627f6ebb852f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fresetvalue_23188',['NVMCTRL_INTFLAG_RESETVALUE',['../component_2nvmctrl_8h.html#a2d7e54384a5f6445cc9b9769ddf32ac2',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesfull_5fpos_23189',['NVMCTRL_INTFLAG_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a760882172efec65a277f3e50784f37ca',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesovf_5fpos_23190',['NVMCTRL_INTFLAG_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a1afdc393e53f834691319e75098b3fef',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseewrc_5fpos_23191',['NVMCTRL_INTFLAG_SEEWRC_Pos',['../component_2nvmctrl_8h.html#ad9fa2489bdc30ba3b9d5eef8c50551a6',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fsusp_5fpos_23192',['NVMCTRL_INTFLAG_SUSP_Pos',['../component_2nvmctrl_8h.html#a17ec7a0341ec9fb5fee40c713c541340',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fmask_23193',['NVMCTRL_PARAM_MASK',['../component_2nvmctrl_8h.html#aadfbc70cd6cbb088b215b8e6322c4295',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fnvmp_5fpos_23194',['NVMCTRL_PARAM_NVMP_Pos',['../component_2nvmctrl_8h.html#a08f9f5de86a6c44ce2d6ecdb9f995443',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5foffset_23195',['NVMCTRL_PARAM_OFFSET',['../component_2nvmctrl_8h.html#a6624597605fc956079a9818778a34abe',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f1024_5fval_23196',['NVMCTRL_PARAM_PSZ_1024_Val',['../component_2nvmctrl_8h.html#a7bffb82ccc9a71552882baabdfa97b13',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f128_5fval_23197',['NVMCTRL_PARAM_PSZ_128_Val',['../component_2nvmctrl_8h.html#ac8f620598445b047b44dfc8081439744',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f16_5fval_23198',['NVMCTRL_PARAM_PSZ_16_Val',['../component_2nvmctrl_8h.html#a10b4a62c2bab14a45967ee663cfbdd10',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f256_5fval_23199',['NVMCTRL_PARAM_PSZ_256_Val',['../component_2nvmctrl_8h.html#a7f53d9c6161bf83ef35275e90438e9e2',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f32_5fval_23200',['NVMCTRL_PARAM_PSZ_32_Val',['../component_2nvmctrl_8h.html#ae80b28e70177db78d7d5a0d748809192',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f512_5fval_23201',['NVMCTRL_PARAM_PSZ_512_Val',['../component_2nvmctrl_8h.html#ac19388a03c011b81e82b35dfd7da69b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f64_5fval_23202',['NVMCTRL_PARAM_PSZ_64_Val',['../component_2nvmctrl_8h.html#a464e20508682489f9283f535148c27b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f8_5fval_23203',['NVMCTRL_PARAM_PSZ_8_Val',['../component_2nvmctrl_8h.html#a9a83c6574dc48ac1c69701673922d2f3',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5fpos_23204',['NVMCTRL_PARAM_PSZ_Pos',['../component_2nvmctrl_8h.html#abec5624158a60a0f0d0b8a62afe18af8',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fresetvalue_23205',['NVMCTRL_PARAM_RESETVALUE',['../component_2nvmctrl_8h.html#a70c3c90bcb2318bb472cc9565751ff04',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fsee_5fpos_23206',['NVMCTRL_PARAM_SEE_Pos',['../component_2nvmctrl_8h.html#ae0b8f7fc4e55aa43cca20f4c373aa876',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fdata_5fpos_23207',['NVMCTRL_PBLDATA_DATA_Pos',['../component_2nvmctrl_8h.html#adb49fcf33ccbd90ef286c0840bb0b4ae',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fmask_23208',['NVMCTRL_PBLDATA_MASK',['../component_2nvmctrl_8h.html#ad9d596008c4ae456d6aa37524ba3c4b9',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5foffset_23209',['NVMCTRL_PBLDATA_OFFSET',['../component_2nvmctrl_8h.html#a20335c5e4f98ea6f04cbfea8e216f424',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fresetvalue_23210',['NVMCTRL_PBLDATA_RESETVALUE',['../component_2nvmctrl_8h.html#a9453ad641763f2a598293f34c408f72e',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fmask_23211',['NVMCTRL_RUNLOCK_MASK',['../component_2nvmctrl_8h.html#a765a44b584c77fcc4955ba5945d40f79',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5foffset_23212',['NVMCTRL_RUNLOCK_OFFSET',['../component_2nvmctrl_8h.html#a346dcd28aad5d36105d096721dfd91e1',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fresetvalue_23213',['NVMCTRL_RUNLOCK_RESETVALUE',['../component_2nvmctrl_8h.html#a8e6268896b6157a54f2d2d3436803364',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5frunlock_5fpos_23214',['NVMCTRL_RUNLOCK_RUNLOCK_Pos',['../component_2nvmctrl_8h.html#a4780bf981f03993af92e7eb985332369',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5faprdis_5fpos_23215',['NVMCTRL_SEECFG_APRDIS_Pos',['../component_2nvmctrl_8h.html#a8495c34e37f84a9dd28292ba8138cfba',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fmask_23216',['NVMCTRL_SEECFG_MASK',['../component_2nvmctrl_8h.html#a32261b1b6bdab06eafe91ddc8ae9e7c1',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5foffset_23217',['NVMCTRL_SEECFG_OFFSET',['../component_2nvmctrl_8h.html#a411992b91d9f9833e5ffbb39750859eb',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fresetvalue_23218',['NVMCTRL_SEECFG_RESETVALUE',['../component_2nvmctrl_8h.html#ad064de9c2ca707bb0c511872eea0974c',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fbuffered_5fval_23219',['NVMCTRL_SEECFG_WMODE_BUFFERED_Val',['../component_2nvmctrl_8h.html#aeaea90b7ce256254fce4312fefd06eae',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fpos_23220',['NVMCTRL_SEECFG_WMODE_Pos',['../component_2nvmctrl_8h.html#ad1519e6fd3d749d2bd87d33fa75dc09a',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5funbuffered_5fval_23221',['NVMCTRL_SEECFG_WMODE_UNBUFFERED_Val',['../component_2nvmctrl_8h.html#adfe1789e19f27c333770c1aa553ffe2e',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fasees_5fpos_23222',['NVMCTRL_SEESTAT_ASEES_Pos',['../component_2nvmctrl_8h.html#a192d45485cf0699ee172d46e7c4e008a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fbusy_5fpos_23223',['NVMCTRL_SEESTAT_BUSY_Pos',['../component_2nvmctrl_8h.html#a27b49bd5f280d800ea54a20a23f990de',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fload_5fpos_23224',['NVMCTRL_SEESTAT_LOAD_Pos',['../component_2nvmctrl_8h.html#a5be1417f0473f1231f71d7577dca2424',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5flock_5fpos_23225',['NVMCTRL_SEESTAT_LOCK_Pos',['../component_2nvmctrl_8h.html#a365448dd65e94a559ad712d01f25ff5a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fmask_23226',['NVMCTRL_SEESTAT_MASK',['../component_2nvmctrl_8h.html#a6a42524260ced78dff10eb90993694c9',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5foffset_23227',['NVMCTRL_SEESTAT_OFFSET',['../component_2nvmctrl_8h.html#a9ef3bbd25a21be90dcbd24473b80d80b',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fpsz_5fpos_23228',['NVMCTRL_SEESTAT_PSZ_Pos',['../component_2nvmctrl_8h.html#a133e065f2ffafe19d26bb83bc68b321c',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fresetvalue_23229',['NVMCTRL_SEESTAT_RESETVALUE',['../component_2nvmctrl_8h.html#acaa208a2a6ae678e37dc65e11aacca55',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5frlock_5fpos_23230',['NVMCTRL_SEESTAT_RLOCK_Pos',['../component_2nvmctrl_8h.html#a65208ac7825e71e3cfb915ef80ba3ed4',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fsblk_5fpos_23231',['NVMCTRL_SEESTAT_SBLK_Pos',['../component_2nvmctrl_8h.html#a750e309549c3cd9c713c29f8a2a0d914',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fafirst_5fpos_23232',['NVMCTRL_STATUS_AFIRST_Pos',['../component_2nvmctrl_8h.html#a8f50070babdcab2c53fdc877caad306f',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbootprot_5fpos_23233',['NVMCTRL_STATUS_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#a67bf7afdc8e516723f0115349cf1c9ed',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbpdis_5fpos_23234',['NVMCTRL_STATUS_BPDIS_Pos',['../component_2nvmctrl_8h.html#a3e0feac79940a9612ff3509d5b30e8c6',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fload_5fpos_23235',['NVMCTRL_STATUS_LOAD_Pos',['../component_2nvmctrl_8h.html#af65957fca966956aebcb205a480376cf',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fmask_23236',['NVMCTRL_STATUS_MASK',['../component_2nvmctrl_8h.html#acb0fa540b3def00184ee02da753f171e',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5foffset_23237',['NVMCTRL_STATUS_OFFSET',['../component_2nvmctrl_8h.html#aa210cb991451778d9df1a804b189799a',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fprm_5fpos_23238',['NVMCTRL_STATUS_PRM_Pos',['../component_2nvmctrl_8h.html#ab7f1c04b15d3c4fc8c9ab4bfbfd0731c',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fready_5fpos_23239',['NVMCTRL_STATUS_READY_Pos',['../component_2nvmctrl_8h.html#a6a3182ad9c4d093efbe119b510b43c39',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fresetvalue_23240',['NVMCTRL_STATUS_RESETVALUE',['../component_2nvmctrl_8h.html#ac30e36e00c486c218383a4d318fe59c8',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fsusp_5fpos_23241',['NVMCTRL_STATUS_SUSP_Pos',['../component_2nvmctrl_8h.html#a204d7eb1505d3f46301eb0f829b977bd',1,'nvmctrl.h']]],
['nvmctrl_5fsw0_23242',['NVMCTRL_SW0',['../same54n19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n19a.h'],['../same54n20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n20a.h'],['../same54p19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p19a.h'],['../same54p20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p20a.h']]],
['nvmctrl_5ftemp_5flog_23243',['NVMCTRL_TEMP_LOG',['../same54n19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n19a.h'],['../same54n20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n20a.h'],['../same54p19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p19a.h'],['../same54p20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p20a.h']]],
['nvmctrl_5fuser_23244',['NVMCTRL_USER',['../same54n19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n19a.h'],['../same54n20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n20a.h'],['../same54p19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p19a.h'],['../same54p20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p20a.h']]]
['nvmctrl_23144',['NVMCTRL',['../same54n19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#ad18608913d3d6e423f3a79d84348910a',1,'NVMCTRL():&#160;same54p20a.h']]],
['nvmctrl_5faddr_5faddr_5fpos_23145',['NVMCTRL_ADDR_ADDR_Pos',['../component_2nvmctrl_8h.html#a7af974b171527d13bf216b3d5ef5650b',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fmask_23146',['NVMCTRL_ADDR_MASK',['../component_2nvmctrl_8h.html#ab7e62000f793147227a50fa0217a0efd',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5foffset_23147',['NVMCTRL_ADDR_OFFSET',['../component_2nvmctrl_8h.html#a2540268cdeb3644aa722cb8cce5546c8',1,'nvmctrl.h']]],
['nvmctrl_5faddr_5fresetvalue_23148',['NVMCTRL_ADDR_RESETVALUE',['../component_2nvmctrl_8h.html#a150652b364483d20d947140a67e2fe25',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns0_5fpos_23149',['NVMCTRL_CTRLA_AHBNS0_Pos',['../component_2nvmctrl_8h.html#aaf7319d1b7b994e5238f58155453a3bd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fahbns1_5fpos_23150',['NVMCTRL_CTRLA_AHBNS1_Pos',['../component_2nvmctrl_8h.html#a657739dc21d96ce606df063bbcabb531',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fautows_5fpos_23151',['NVMCTRL_CTRLA_AUTOWS_Pos',['../component_2nvmctrl_8h.html#a257e603f4a7174e1d635448e228bfc07',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis0_5fpos_23152',['NVMCTRL_CTRLA_CACHEDIS0_Pos',['../component_2nvmctrl_8h.html#a4ecb1a6ccfe7b8164364078aac486806',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fcachedis1_5fpos_23153',['NVMCTRL_CTRLA_CACHEDIS1_Pos',['../component_2nvmctrl_8h.html#a80271bf72ecf3941808bbbd26df4f55c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fmask_23154',['NVMCTRL_CTRLA_MASK',['../component_2nvmctrl_8h.html#a59f02a3ccafaec97563b7369c88d548c',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5foffset_23155',['NVMCTRL_CTRLA_OFFSET',['../component_2nvmctrl_8h.html#a4853195148a26c13e84af06b27099a5a',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5ffullauto_5fval_23156',['NVMCTRL_CTRLA_PRM_FULLAUTO_Val',['../component_2nvmctrl_8h.html#adb5e8d0001604c78e97b4df1d79c8bd2',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fmanual_5fval_23157',['NVMCTRL_CTRLA_PRM_MANUAL_Val',['../component_2nvmctrl_8h.html#a2eb1d721aa675d6e611dd76a807ca656',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fpos_23158',['NVMCTRL_CTRLA_PRM_Pos',['../component_2nvmctrl_8h.html#a0f41c800b0d4a71442b2386ed1cbf40e',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fprm_5fsemiauto_5fval_23159',['NVMCTRL_CTRLA_PRM_SEMIAUTO_Val',['../component_2nvmctrl_8h.html#aac7012aec3df3a960aa7429f44d351e5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fresetvalue_23160',['NVMCTRL_CTRLA_RESETVALUE',['../component_2nvmctrl_8h.html#afda7d874f8e5f91f9590105c7bc984b5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5frws_5fpos_23161',['NVMCTRL_CTRLA_RWS_Pos',['../component_2nvmctrl_8h.html#a79492707dae78f3e00d769fc45a33fd3',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fsuspen_5fpos_23162',['NVMCTRL_CTRLA_SUSPEN_Pos',['../component_2nvmctrl_8h.html#a6aab60ee7f62c471607703ddd3b7b6e4',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fadw_5fval_23163',['NVMCTRL_CTRLA_WMODE_ADW_Val',['../component_2nvmctrl_8h.html#a339f6e3dd7fd6de30c66c844b0387e8d',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fap_5fval_23164',['NVMCTRL_CTRLA_WMODE_AP_Val',['../component_2nvmctrl_8h.html#a01fc2f0de453700c5253ca7aefe693c5',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5faqw_5fval_23165',['NVMCTRL_CTRLA_WMODE_AQW_Val',['../component_2nvmctrl_8h.html#a13be0616ab7d1862c3ec88ba15e65588',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fman_5fval_23166',['NVMCTRL_CTRLA_WMODE_MAN_Val',['../component_2nvmctrl_8h.html#a45ac920f783317e834656f1918eaebbd',1,'nvmctrl.h']]],
['nvmctrl_5fctrla_5fwmode_5fpos_23167',['NVMCTRL_CTRLA_WMODE_Pos',['../component_2nvmctrl_8h.html#a42f77205ee1d60ab6bde5ce2f96d81a4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees0_5fval_23168',['NVMCTRL_CTRLB_CMD_ASEES0_Val',['../component_2nvmctrl_8h.html#a026140326eacb0754ddca2c6cb722081',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fasees1_5fval_23169',['NVMCTRL_CTRLB_CMD_ASEES1_Val',['../component_2nvmctrl_8h.html#a4f80ee71f9e77eec26ae1185aefaada2',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fbkswrst_5fval_23170',['NVMCTRL_CTRLB_CMD_BKSWRST_Val',['../component_2nvmctrl_8h.html#a6f03386bf7b354fda9387d2c52db86d1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcbpdis_5fval_23171',['NVMCTRL_CTRLB_CMD_CBPDIS_Val',['../component_2nvmctrl_8h.html#a5a999f4f70c43b441a20c76c5ca8cc5c',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcelck_5fval_23172',['NVMCTRL_CTRLB_CMD_CELCK_Val',['../component_2nvmctrl_8h.html#a09c93870736f457ba8987109ae500640',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fceulck_5fval_23173',['NVMCTRL_CTRLB_CMD_CEULCK_Val',['../component_2nvmctrl_8h.html#ab97117cbba584df4bf0620b6a40ef844',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fcprm_5fval_23174',['NVMCTRL_CTRLB_CMD_CPRM_Val',['../component_2nvmctrl_8h.html#ac190c581f785840caad085267458456e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5feb_5fval_23175',['NVMCTRL_CTRLB_CMD_EB_Val',['../component_2nvmctrl_8h.html#a0ed209557388aeb8be92cf517c864dcb',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fep_5fval_23176',['NVMCTRL_CTRLB_CMD_EP_Val',['../component_2nvmctrl_8h.html#adf1f5d8474585fee271f0962685af28d',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flr_5fval_23177',['NVMCTRL_CTRLB_CMD_LR_Val',['../component_2nvmctrl_8h.html#aac904813b0b4baaf6bc705eba9492484',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flsee_5fval_23178',['NVMCTRL_CTRLB_CMD_LSEE_Val',['../component_2nvmctrl_8h.html#a8b5bfcf07c93cafca14275059bae1063',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5flseer_5fval_23179',['NVMCTRL_CTRLB_CMD_LSEER_Val',['../component_2nvmctrl_8h.html#a424082dbd173d10a016ce39edf650ac4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpbc_5fval_23180',['NVMCTRL_CTRLB_CMD_PBC_Val',['../component_2nvmctrl_8h.html#ab61f899ee1eb9d625ec3f4d2a17c9474',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fpos_23181',['NVMCTRL_CTRLB_CMD_Pos',['../component_2nvmctrl_8h.html#ab5ece0aea3c767970b7e9a36d8ff5b09',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsbpdis_5fval_23182',['NVMCTRL_CTRLB_CMD_SBPDIS_Val',['../component_2nvmctrl_8h.html#a0b282c1f3bd4b7133f583caf095bd557',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeflush_5fval_23183',['NVMCTRL_CTRLB_CMD_SEEFLUSH_Val',['../component_2nvmctrl_8h.html#ae3721546ed0ce85d258030640db36cdf',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fseeraloc_5fval_23184',['NVMCTRL_CTRLB_CMD_SEERALOC_Val',['../component_2nvmctrl_8h.html#af7e06bd5d2cfb32c1840887fd46748c1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fsprm_5fval_23185',['NVMCTRL_CTRLB_CMD_SPRM_Val',['../component_2nvmctrl_8h.html#ab6548cf96c5a7fd1b260f9be53ed6ced',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fssb_5fval_23186',['NVMCTRL_CTRLB_CMD_SSB_Val',['../component_2nvmctrl_8h.html#aadf20f72205d9c9fd72880936f9ee01f',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fswrst_5fval_23187',['NVMCTRL_CTRLB_CMD_SWRST_Val',['../component_2nvmctrl_8h.html#a6c2019650a4cd908d3ea5a338beb6ff4',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fur_5fval_23188',['NVMCTRL_CTRLB_CMD_UR_Val',['../component_2nvmctrl_8h.html#a6ace8977becb3c48a551ab660ce60304',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fusee_5fval_23189',['NVMCTRL_CTRLB_CMD_USEE_Val',['../component_2nvmctrl_8h.html#af2c5cda4f74dd820709364d368d94d2e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fuseer_5fval_23190',['NVMCTRL_CTRLB_CMD_USEER_Val',['../component_2nvmctrl_8h.html#ac63d1fd2efbde3aebed27b21eb0c16e8',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwp_5fval_23191',['NVMCTRL_CTRLB_CMD_WP_Val',['../component_2nvmctrl_8h.html#a5d327d2e6f86cb3fbd2b6b4fd7cdd68e',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmd_5fwqw_5fval_23192',['NVMCTRL_CTRLB_CMD_WQW_Val',['../component_2nvmctrl_8h.html#af34525bef09fb504163897eef0150037',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fkey_5fval_23193',['NVMCTRL_CTRLB_CMDEX_KEY_Val',['../component_2nvmctrl_8h.html#ab22f4e79b9b7373890149b2fe0966192',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fcmdex_5fpos_23194',['NVMCTRL_CTRLB_CMDEX_Pos',['../component_2nvmctrl_8h.html#aeea03491d70dfffbc8667e69e5aabca6',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fmask_23195',['NVMCTRL_CTRLB_MASK',['../component_2nvmctrl_8h.html#aaf2272ac3ee696dfe0d222a87bc7cbe1',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5foffset_23196',['NVMCTRL_CTRLB_OFFSET',['../component_2nvmctrl_8h.html#a96b31df212035cedc4f6a370222e5b67',1,'nvmctrl.h']]],
['nvmctrl_5fctrlb_5fresetvalue_23197',['NVMCTRL_CTRLB_RESETVALUE',['../component_2nvmctrl_8h.html#ac0a835cf0ee617133dc445147d2af448',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccdis_5fpos_23198',['NVMCTRL_DBGCTRL_ECCDIS_Pos',['../component_2nvmctrl_8h.html#a4b0945afc4044065bbc12602111b7203',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5feccelog_5fpos_23199',['NVMCTRL_DBGCTRL_ECCELOG_Pos',['../component_2nvmctrl_8h.html#aa58da7471715cdc607e846adaf1ec734',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fmask_23200',['NVMCTRL_DBGCTRL_MASK',['../component_2nvmctrl_8h.html#a206ada9fc0b8e925dc51272da5dff233',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5foffset_23201',['NVMCTRL_DBGCTRL_OFFSET',['../component_2nvmctrl_8h.html#abfc10a800250b88bc1aa6893d7f7807a',1,'nvmctrl.h']]],
['nvmctrl_5fdbgctrl_5fresetvalue_23202',['NVMCTRL_DBGCTRL_RESETVALUE',['../component_2nvmctrl_8h.html#a3caa773b6cf8933d60f4e96611415cdc',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5faddr_5fpos_23203',['NVMCTRL_ECCERR_ADDR_Pos',['../component_2nvmctrl_8h.html#a01bfc438608a32f2a18d81190a42b6b9',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fmask_23204',['NVMCTRL_ECCERR_MASK',['../component_2nvmctrl_8h.html#a2e98f8b8bdb2b318dcf8174add395063',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5foffset_23205',['NVMCTRL_ECCERR_OFFSET',['../component_2nvmctrl_8h.html#abd3a5dd0cfe3b66f6386e4f74b8fa85b',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5fresetvalue_23206',['NVMCTRL_ECCERR_RESETVALUE',['../component_2nvmctrl_8h.html#ab797fb0ea4d59d05e33853bfdf7c1107',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fdual_5fval_23207',['NVMCTRL_ECCERR_TYPEH_DUAL_Val',['../component_2nvmctrl_8h.html#a458bd93782ff9661b5f6642cae0d50df',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fnone_5fval_23208',['NVMCTRL_ECCERR_TYPEH_NONE_Val',['../component_2nvmctrl_8h.html#aca1b74f0878dd8da1e328b08cff5e814',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fpos_23209',['NVMCTRL_ECCERR_TYPEH_Pos',['../component_2nvmctrl_8h.html#a0e34b7e5cff130c34ded4efec79fd9a1',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypeh_5fsingle_5fval_23210',['NVMCTRL_ECCERR_TYPEH_SINGLE_Val',['../component_2nvmctrl_8h.html#a4f3f4387989bdb1fec66d5c8d38feb4e',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fdual_5fval_23211',['NVMCTRL_ECCERR_TYPEL_DUAL_Val',['../component_2nvmctrl_8h.html#a4db2a69ae48460249c3e8581e0fa2f27',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fnone_5fval_23212',['NVMCTRL_ECCERR_TYPEL_NONE_Val',['../component_2nvmctrl_8h.html#ad6c760c325868b652ea8549160dbfdea',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fpos_23213',['NVMCTRL_ECCERR_TYPEL_Pos',['../component_2nvmctrl_8h.html#a773b71ff442b075e909c294c8b32df20',1,'nvmctrl.h']]],
['nvmctrl_5feccerr_5ftypel_5fsingle_5fval_23214',['NVMCTRL_ECCERR_TYPEL_SINGLE_Val',['../component_2nvmctrl_8h.html#a7181020ba94941e46f81935a64ca66ad',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fbootprot_5fpos_23215',['NVMCTRL_FUSES_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#ac2867e0c1d1fc1b327991134a24983ca',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fregion_5flocks_5fpos_23216',['NVMCTRL_FUSES_REGION_LOCKS_Pos',['../component_2nvmctrl_8h.html#a553b4d761e65080381c6af440abee0f0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseepsz_5fpos_23217',['NVMCTRL_FUSES_SEEPSZ_Pos',['../component_2nvmctrl_8h.html#ad8edf28ff4e7b8bb4fe9ffacbd1050a0',1,'nvmctrl.h']]],
['nvmctrl_5ffuses_5fseesblk_5fpos_23218',['NVMCTRL_FUSES_SEESBLK_Pos',['../component_2nvmctrl_8h.html#a57166c3b4b4ee40c95300889a7c0ebd8',1,'nvmctrl.h']]],
['nvmctrl_5finst_5fnum_23219',['NVMCTRL_INST_NUM',['../same54n19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a13ad0ecfdddf1ba98ff430146e106ad9',1,'NVMCTRL_INST_NUM():&#160;same54p20a.h']]],
['nvmctrl_5finsts_23220',['NVMCTRL_INSTS',['../same54n19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a89f9b65723089d64dc46c4c5ac60d1e0',1,'NVMCTRL_INSTS():&#160;same54p20a.h']]],
['nvmctrl_5fintenclr_5faddre_5fpos_23221',['NVMCTRL_INTENCLR_ADDRE_Pos',['../component_2nvmctrl_8h.html#a008fce13f42375fa0ec8dfa8ee6e25ad',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fdone_5fpos_23222',['NVMCTRL_INTENCLR_DONE_Pos',['../component_2nvmctrl_8h.html#ab303d4dd896628711c798a2d81c243e9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccde_5fpos_23223',['NVMCTRL_INTENCLR_ECCDE_Pos',['../component_2nvmctrl_8h.html#a014cf0f8bd9d879896f26f9aa95b1d5f',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5feccse_5fpos_23224',['NVMCTRL_INTENCLR_ECCSE_Pos',['../component_2nvmctrl_8h.html#a86ceb9ac83904784c8ad062991023a48',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5flocke_5fpos_23225',['NVMCTRL_INTENCLR_LOCKE_Pos',['../component_2nvmctrl_8h.html#ac1fa728d12605ea718efcc90936647d0',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fmask_23226',['NVMCTRL_INTENCLR_MASK',['../component_2nvmctrl_8h.html#a399cac515ae8b6bfd864314732defbe9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fnvme_5fpos_23227',['NVMCTRL_INTENCLR_NVME_Pos',['../component_2nvmctrl_8h.html#af50b2e19d9eafcb99eb73dc8e63236d9',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5foffset_23228',['NVMCTRL_INTENCLR_OFFSET',['../component_2nvmctrl_8h.html#af69e18ecea21ffbf47dc28f66161a768',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fproge_5fpos_23229',['NVMCTRL_INTENCLR_PROGE_Pos',['../component_2nvmctrl_8h.html#a52b68cb512c19b5711f09698f6b93493',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fresetvalue_23230',['NVMCTRL_INTENCLR_RESETVALUE',['../component_2nvmctrl_8h.html#acc5d7dab614b1d4e05402a8c97de3954',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesfull_5fpos_23231',['NVMCTRL_INTENCLR_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a1790fb571650fdddf88e6901335be515',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseesovf_5fpos_23232',['NVMCTRL_INTENCLR_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a743129233f8516c29b12e381951077dd',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fseewrc_5fpos_23233',['NVMCTRL_INTENCLR_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af2ac2b08e506101132051821be8847c2',1,'nvmctrl.h']]],
['nvmctrl_5fintenclr_5fsusp_5fpos_23234',['NVMCTRL_INTENCLR_SUSP_Pos',['../component_2nvmctrl_8h.html#a8c0417f3d672b2fd21a2864eb416d1f8',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5faddre_5fpos_23235',['NVMCTRL_INTENSET_ADDRE_Pos',['../component_2nvmctrl_8h.html#a7ce68e10f261102ba48b958d85fa3e97',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fdone_5fpos_23236',['NVMCTRL_INTENSET_DONE_Pos',['../component_2nvmctrl_8h.html#a0a8101c9e2b9d16bc4a8a1edbb3ff97a',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccde_5fpos_23237',['NVMCTRL_INTENSET_ECCDE_Pos',['../component_2nvmctrl_8h.html#aaf23d6f9f57daf3723ba6c3bf85a1ffd',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5feccse_5fpos_23238',['NVMCTRL_INTENSET_ECCSE_Pos',['../component_2nvmctrl_8h.html#ae004bc06eb8e11c5a364b0de5edf369f',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5flocke_5fpos_23239',['NVMCTRL_INTENSET_LOCKE_Pos',['../component_2nvmctrl_8h.html#a83f6cb52007a64ba21de6b059c78a530',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fmask_23240',['NVMCTRL_INTENSET_MASK',['../component_2nvmctrl_8h.html#ac49294b6f689477f53cabe7aba8a4975',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fnvme_5fpos_23241',['NVMCTRL_INTENSET_NVME_Pos',['../component_2nvmctrl_8h.html#a05655c435fcc534d5b7aee5f88809a70',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5foffset_23242',['NVMCTRL_INTENSET_OFFSET',['../component_2nvmctrl_8h.html#acbc15881a076ca6d111540304e571a20',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fproge_5fpos_23243',['NVMCTRL_INTENSET_PROGE_Pos',['../component_2nvmctrl_8h.html#ab0e7649e23ebb619b9f3cba68aa1650e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fresetvalue_23244',['NVMCTRL_INTENSET_RESETVALUE',['../component_2nvmctrl_8h.html#ad48eea420ef04c6f0c75a074991ad6d6',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesfull_5fpos_23245',['NVMCTRL_INTENSET_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a7114d359880d3c94e97b543c6e6ea9cf',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseesovf_5fpos_23246',['NVMCTRL_INTENSET_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a98a8f6fcee8a62386dbc640ad5e1979e',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fseewrc_5fpos_23247',['NVMCTRL_INTENSET_SEEWRC_Pos',['../component_2nvmctrl_8h.html#af1c59aa0745e03430697b51742b6c2ba',1,'nvmctrl.h']]],
['nvmctrl_5fintenset_5fsusp_5fpos_23248',['NVMCTRL_INTENSET_SUSP_Pos',['../component_2nvmctrl_8h.html#a59b343b6ae84f68d8b6e2230f88fbd0d',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5faddre_5fpos_23249',['NVMCTRL_INTFLAG_ADDRE_Pos',['../component_2nvmctrl_8h.html#a108e21dc370bd9b52bd26614cdf00631',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fdone_5fpos_23250',['NVMCTRL_INTFLAG_DONE_Pos',['../component_2nvmctrl_8h.html#a22999317a65e0e9d3222617351fc58d1',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccde_5fpos_23251',['NVMCTRL_INTFLAG_ECCDE_Pos',['../component_2nvmctrl_8h.html#a9080bb2d763386195ec46a250d7a2089',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5feccse_5fpos_23252',['NVMCTRL_INTFLAG_ECCSE_Pos',['../component_2nvmctrl_8h.html#aff9c4e86b71922736f8e53c1cd89eb9f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5flocke_5fpos_23253',['NVMCTRL_INTFLAG_LOCKE_Pos',['../component_2nvmctrl_8h.html#a46d0a99797eecef352c91b78662af79b',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fmask_23254',['NVMCTRL_INTFLAG_MASK',['../component_2nvmctrl_8h.html#a77cb82e875b1988ba35455d842df74c5',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fnvme_5fpos_23255',['NVMCTRL_INTFLAG_NVME_Pos',['../component_2nvmctrl_8h.html#aa646db9f5e0c170cbd083c99061dab17',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5foffset_23256',['NVMCTRL_INTFLAG_OFFSET',['../component_2nvmctrl_8h.html#a28bff79f1cf058fa8a6c7072f5913862',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fproge_5fpos_23257',['NVMCTRL_INTFLAG_PROGE_Pos',['../component_2nvmctrl_8h.html#a07cf1320b7671fcff3ed627f6ebb852f',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fresetvalue_23258',['NVMCTRL_INTFLAG_RESETVALUE',['../component_2nvmctrl_8h.html#a2d7e54384a5f6445cc9b9769ddf32ac2',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesfull_5fpos_23259',['NVMCTRL_INTFLAG_SEESFULL_Pos',['../component_2nvmctrl_8h.html#a760882172efec65a277f3e50784f37ca',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseesovf_5fpos_23260',['NVMCTRL_INTFLAG_SEESOVF_Pos',['../component_2nvmctrl_8h.html#a1afdc393e53f834691319e75098b3fef',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fseewrc_5fpos_23261',['NVMCTRL_INTFLAG_SEEWRC_Pos',['../component_2nvmctrl_8h.html#ad9fa2489bdc30ba3b9d5eef8c50551a6',1,'nvmctrl.h']]],
['nvmctrl_5fintflag_5fsusp_5fpos_23262',['NVMCTRL_INTFLAG_SUSP_Pos',['../component_2nvmctrl_8h.html#a17ec7a0341ec9fb5fee40c713c541340',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fmask_23263',['NVMCTRL_PARAM_MASK',['../component_2nvmctrl_8h.html#aadfbc70cd6cbb088b215b8e6322c4295',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fnvmp_5fpos_23264',['NVMCTRL_PARAM_NVMP_Pos',['../component_2nvmctrl_8h.html#a08f9f5de86a6c44ce2d6ecdb9f995443',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5foffset_23265',['NVMCTRL_PARAM_OFFSET',['../component_2nvmctrl_8h.html#a6624597605fc956079a9818778a34abe',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f1024_5fval_23266',['NVMCTRL_PARAM_PSZ_1024_Val',['../component_2nvmctrl_8h.html#a7bffb82ccc9a71552882baabdfa97b13',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f128_5fval_23267',['NVMCTRL_PARAM_PSZ_128_Val',['../component_2nvmctrl_8h.html#ac8f620598445b047b44dfc8081439744',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f16_5fval_23268',['NVMCTRL_PARAM_PSZ_16_Val',['../component_2nvmctrl_8h.html#a10b4a62c2bab14a45967ee663cfbdd10',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f256_5fval_23269',['NVMCTRL_PARAM_PSZ_256_Val',['../component_2nvmctrl_8h.html#a7f53d9c6161bf83ef35275e90438e9e2',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f32_5fval_23270',['NVMCTRL_PARAM_PSZ_32_Val',['../component_2nvmctrl_8h.html#ae80b28e70177db78d7d5a0d748809192',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f512_5fval_23271',['NVMCTRL_PARAM_PSZ_512_Val',['../component_2nvmctrl_8h.html#ac19388a03c011b81e82b35dfd7da69b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f64_5fval_23272',['NVMCTRL_PARAM_PSZ_64_Val',['../component_2nvmctrl_8h.html#a464e20508682489f9283f535148c27b1',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5f8_5fval_23273',['NVMCTRL_PARAM_PSZ_8_Val',['../component_2nvmctrl_8h.html#a9a83c6574dc48ac1c69701673922d2f3',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fpsz_5fpos_23274',['NVMCTRL_PARAM_PSZ_Pos',['../component_2nvmctrl_8h.html#abec5624158a60a0f0d0b8a62afe18af8',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fresetvalue_23275',['NVMCTRL_PARAM_RESETVALUE',['../component_2nvmctrl_8h.html#a70c3c90bcb2318bb472cc9565751ff04',1,'nvmctrl.h']]],
['nvmctrl_5fparam_5fsee_5fpos_23276',['NVMCTRL_PARAM_SEE_Pos',['../component_2nvmctrl_8h.html#ae0b8f7fc4e55aa43cca20f4c373aa876',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fdata_5fpos_23277',['NVMCTRL_PBLDATA_DATA_Pos',['../component_2nvmctrl_8h.html#adb49fcf33ccbd90ef286c0840bb0b4ae',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fmask_23278',['NVMCTRL_PBLDATA_MASK',['../component_2nvmctrl_8h.html#ad9d596008c4ae456d6aa37524ba3c4b9',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5foffset_23279',['NVMCTRL_PBLDATA_OFFSET',['../component_2nvmctrl_8h.html#a20335c5e4f98ea6f04cbfea8e216f424',1,'nvmctrl.h']]],
['nvmctrl_5fpbldata_5fresetvalue_23280',['NVMCTRL_PBLDATA_RESETVALUE',['../component_2nvmctrl_8h.html#a9453ad641763f2a598293f34c408f72e',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fmask_23281',['NVMCTRL_RUNLOCK_MASK',['../component_2nvmctrl_8h.html#a765a44b584c77fcc4955ba5945d40f79',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5foffset_23282',['NVMCTRL_RUNLOCK_OFFSET',['../component_2nvmctrl_8h.html#a346dcd28aad5d36105d096721dfd91e1',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5fresetvalue_23283',['NVMCTRL_RUNLOCK_RESETVALUE',['../component_2nvmctrl_8h.html#a8e6268896b6157a54f2d2d3436803364',1,'nvmctrl.h']]],
['nvmctrl_5frunlock_5frunlock_5fpos_23284',['NVMCTRL_RUNLOCK_RUNLOCK_Pos',['../component_2nvmctrl_8h.html#a4780bf981f03993af92e7eb985332369',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5faprdis_5fpos_23285',['NVMCTRL_SEECFG_APRDIS_Pos',['../component_2nvmctrl_8h.html#a8495c34e37f84a9dd28292ba8138cfba',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fmask_23286',['NVMCTRL_SEECFG_MASK',['../component_2nvmctrl_8h.html#a32261b1b6bdab06eafe91ddc8ae9e7c1',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5foffset_23287',['NVMCTRL_SEECFG_OFFSET',['../component_2nvmctrl_8h.html#a411992b91d9f9833e5ffbb39750859eb',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fresetvalue_23288',['NVMCTRL_SEECFG_RESETVALUE',['../component_2nvmctrl_8h.html#ad064de9c2ca707bb0c511872eea0974c',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fbuffered_5fval_23289',['NVMCTRL_SEECFG_WMODE_BUFFERED_Val',['../component_2nvmctrl_8h.html#aeaea90b7ce256254fce4312fefd06eae',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5fpos_23290',['NVMCTRL_SEECFG_WMODE_Pos',['../component_2nvmctrl_8h.html#ad1519e6fd3d749d2bd87d33fa75dc09a',1,'nvmctrl.h']]],
['nvmctrl_5fseecfg_5fwmode_5funbuffered_5fval_23291',['NVMCTRL_SEECFG_WMODE_UNBUFFERED_Val',['../component_2nvmctrl_8h.html#adfe1789e19f27c333770c1aa553ffe2e',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fasees_5fpos_23292',['NVMCTRL_SEESTAT_ASEES_Pos',['../component_2nvmctrl_8h.html#a192d45485cf0699ee172d46e7c4e008a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fbusy_5fpos_23293',['NVMCTRL_SEESTAT_BUSY_Pos',['../component_2nvmctrl_8h.html#a27b49bd5f280d800ea54a20a23f990de',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fload_5fpos_23294',['NVMCTRL_SEESTAT_LOAD_Pos',['../component_2nvmctrl_8h.html#a5be1417f0473f1231f71d7577dca2424',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5flock_5fpos_23295',['NVMCTRL_SEESTAT_LOCK_Pos',['../component_2nvmctrl_8h.html#a365448dd65e94a559ad712d01f25ff5a',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fmask_23296',['NVMCTRL_SEESTAT_MASK',['../component_2nvmctrl_8h.html#a6a42524260ced78dff10eb90993694c9',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5foffset_23297',['NVMCTRL_SEESTAT_OFFSET',['../component_2nvmctrl_8h.html#a9ef3bbd25a21be90dcbd24473b80d80b',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fpsz_5fpos_23298',['NVMCTRL_SEESTAT_PSZ_Pos',['../component_2nvmctrl_8h.html#a133e065f2ffafe19d26bb83bc68b321c',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fresetvalue_23299',['NVMCTRL_SEESTAT_RESETVALUE',['../component_2nvmctrl_8h.html#acaa208a2a6ae678e37dc65e11aacca55',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5frlock_5fpos_23300',['NVMCTRL_SEESTAT_RLOCK_Pos',['../component_2nvmctrl_8h.html#a65208ac7825e71e3cfb915ef80ba3ed4',1,'nvmctrl.h']]],
['nvmctrl_5fseestat_5fsblk_5fpos_23301',['NVMCTRL_SEESTAT_SBLK_Pos',['../component_2nvmctrl_8h.html#a750e309549c3cd9c713c29f8a2a0d914',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fafirst_5fpos_23302',['NVMCTRL_STATUS_AFIRST_Pos',['../component_2nvmctrl_8h.html#a8f50070babdcab2c53fdc877caad306f',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbootprot_5fpos_23303',['NVMCTRL_STATUS_BOOTPROT_Pos',['../component_2nvmctrl_8h.html#a67bf7afdc8e516723f0115349cf1c9ed',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fbpdis_5fpos_23304',['NVMCTRL_STATUS_BPDIS_Pos',['../component_2nvmctrl_8h.html#a3e0feac79940a9612ff3509d5b30e8c6',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fload_5fpos_23305',['NVMCTRL_STATUS_LOAD_Pos',['../component_2nvmctrl_8h.html#af65957fca966956aebcb205a480376cf',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fmask_23306',['NVMCTRL_STATUS_MASK',['../component_2nvmctrl_8h.html#acb0fa540b3def00184ee02da753f171e',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5foffset_23307',['NVMCTRL_STATUS_OFFSET',['../component_2nvmctrl_8h.html#aa210cb991451778d9df1a804b189799a',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fprm_5fpos_23308',['NVMCTRL_STATUS_PRM_Pos',['../component_2nvmctrl_8h.html#ab7f1c04b15d3c4fc8c9ab4bfbfd0731c',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fready_5fpos_23309',['NVMCTRL_STATUS_READY_Pos',['../component_2nvmctrl_8h.html#a6a3182ad9c4d093efbe119b510b43c39',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fresetvalue_23310',['NVMCTRL_STATUS_RESETVALUE',['../component_2nvmctrl_8h.html#ac30e36e00c486c218383a4d318fe59c8',1,'nvmctrl.h']]],
['nvmctrl_5fstatus_5fsusp_5fpos_23311',['NVMCTRL_STATUS_SUSP_Pos',['../component_2nvmctrl_8h.html#a204d7eb1505d3f46301eb0f829b977bd',1,'nvmctrl.h']]],
['nvmctrl_5fsw0_23312',['NVMCTRL_SW0',['../same54n19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n19a.h'],['../same54n20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54n20a.h'],['../same54p19a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p19a.h'],['../same54p20a_8h.html#a485cb00e8e9ff3445dbb87dbb44a5e5b',1,'NVMCTRL_SW0():&#160;same54p20a.h']]],
['nvmctrl_5ftemp_5flog_23313',['NVMCTRL_TEMP_LOG',['../same54n19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n19a.h'],['../same54n20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54n20a.h'],['../same54p19a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p19a.h'],['../same54p20a_8h.html#ae900d443ec6d7cf1c90d21b6662fa447',1,'NVMCTRL_TEMP_LOG():&#160;same54p20a.h']]],
['nvmctrl_5fuser_23314',['NVMCTRL_USER',['../same54n19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n19a.h'],['../same54n20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54n20a.h'],['../same54p19a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p19a.h'],['../same54p20a_8h.html#a7a7a83a5753fa323b61536e396083c99',1,'NVMCTRL_USER():&#160;same54p20a.h']]]
];

@ -1,258 +1,258 @@
var searchData=
[
['osc32kctrl_23245',['OSC32KCTRL',['../same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p20a.h']]],
['osc32kctrl_5fcfdctrl_5fcfden_5fpos_23246',['OSC32KCTRL_CFDCTRL_CFDEN_Pos',['../component_2osc32kctrl_8h.html#a2aedb65f916f976703240140126904f6',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fcfdpresc_5fpos_23247',['OSC32KCTRL_CFDCTRL_CFDPRESC_Pos',['../component_2osc32kctrl_8h.html#a2dc36bba505b43a70628172895e4cee3',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fmask_23248',['OSC32KCTRL_CFDCTRL_MASK',['../component_2osc32kctrl_8h.html#a3fec783d5e565f11fe77f4ae88a9876d',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5foffset_23249',['OSC32KCTRL_CFDCTRL_OFFSET',['../component_2osc32kctrl_8h.html#ab2fbb0a95bf2348b26d6eb55d9488e27',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fresetvalue_23250',['OSC32KCTRL_CFDCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#ab195093fda704bdb95893108576c6ba5',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fswback_5fpos_23251',['OSC32KCTRL_CFDCTRL_SWBACK_Pos',['../component_2osc32kctrl_8h.html#a2e8a160a709d649bf92161a98ed35068',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fcfdeo_5fpos_23252',['OSC32KCTRL_EVCTRL_CFDEO_Pos',['../component_2osc32kctrl_8h.html#a71fb66c27d4c80fa73af5641ef5083ae',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fmask_23253',['OSC32KCTRL_EVCTRL_MASK',['../component_2osc32kctrl_8h.html#a8c5fd77028706618775ef03e5b6fd146',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5foffset_23254',['OSC32KCTRL_EVCTRL_OFFSET',['../component_2osc32kctrl_8h.html#abe8425e24e008b4160dd1756dc5c4e66',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fresetvalue_23255',['OSC32KCTRL_EVCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#aced57526fa5e5b62a8f4bebb37deea0f',1,'osc32kctrl.h']]],
['osc32kctrl_5finst_5fnum_23256',['OSC32KCTRL_INST_NUM',['../same54n19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p20a.h']]],
['osc32kctrl_5finsts_23257',['OSC32KCTRL_INSTS',['../same54n19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p20a.h']]],
['osc32kctrl_5fintenclr_5fmask_23258',['OSC32KCTRL_INTENCLR_MASK',['../component_2osc32kctrl_8h.html#a753f913b2693500c8b4c878c5ed1e60a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5foffset_23259',['OSC32KCTRL_INTENCLR_OFFSET',['../component_2osc32kctrl_8h.html#a22544563390854dfa7f044a4b83abb54',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fresetvalue_23260',['OSC32KCTRL_INTENCLR_RESETVALUE',['../component_2osc32kctrl_8h.html#ad3aafd31e91ecf11f10d847aca17c178',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32kfail_5fpos_23261',['OSC32KCTRL_INTENCLR_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#af25e6f5cc19d74258a0272cab04cc74e',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32krdy_5fpos_23262',['OSC32KCTRL_INTENCLR_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#ac526cada7174d6b98a29d5f0cf44ae97',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fmask_23263',['OSC32KCTRL_INTENSET_MASK',['../component_2osc32kctrl_8h.html#affc01dd3bc722708c644175127d73070',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5foffset_23264',['OSC32KCTRL_INTENSET_OFFSET',['../component_2osc32kctrl_8h.html#acd0c571999e2292d247edb6c3226bc6a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fresetvalue_23265',['OSC32KCTRL_INTENSET_RESETVALUE',['../component_2osc32kctrl_8h.html#a50b1ca7855eb0e99ad3619bb83f08d14',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32kfail_5fpos_23266',['OSC32KCTRL_INTENSET_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#a7ebf60f93ae5934bdb16757b89c65663',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32krdy_5fpos_23267',['OSC32KCTRL_INTENSET_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#af952ca2a3c0659258f67df4af0455662',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fmask_23268',['OSC32KCTRL_INTFLAG_MASK',['../component_2osc32kctrl_8h.html#a7265e7d7ad5a14c6c1c13035721290c2',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5foffset_23269',['OSC32KCTRL_INTFLAG_OFFSET',['../component_2osc32kctrl_8h.html#aec77fa56678a12082b0db8a754fa1750',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fresetvalue_23270',['OSC32KCTRL_INTFLAG_RESETVALUE',['../component_2osc32kctrl_8h.html#a29a58edeb42ff385598a3005004dddf0',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32kfail_5fpos_23271',['OSC32KCTRL_INTFLAG_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#ac64beded2c373e26b4afc3145c5913de',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32krdy_5fpos_23272',['OSC32KCTRL_INTFLAG_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#a85c2db168fc2fe2f24f7d01f57721a86',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fcalib_5fpos_23273',['OSC32KCTRL_OSCULP32K_CALIB_Pos',['../component_2osc32kctrl_8h.html#aa2abedc94425667d08d6682c2c4f19cf',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen1k_5fpos_23274',['OSC32KCTRL_OSCULP32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#aadfe0343e0462db3b20dca24186d2681',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen32k_5fpos_23275',['OSC32KCTRL_OSCULP32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a83057d513fe89052c2f00778184eeb02',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fmask_23276',['OSC32KCTRL_OSCULP32K_MASK',['../component_2osc32kctrl_8h.html#a7b3ca0c8a3b7f6e1b9baf3c1da4cd182',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5foffset_23277',['OSC32KCTRL_OSCULP32K_OFFSET',['../component_2osc32kctrl_8h.html#aa2ae9323b7a5247081c2bfc04f97e8d1',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fresetvalue_23278',['OSC32KCTRL_OSCULP32K_RESETVALUE',['../component_2osc32kctrl_8h.html#ab745111a32543331557e25c5563a4511',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fwrtlock_5fpos_23279',['OSC32KCTRL_OSCULP32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#a591a0a2ca06e742e29fcac7418e0593f',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fmask_23280',['OSC32KCTRL_RTCCTRL_MASK',['../component_2osc32kctrl_8h.html#aa679222a2941c8283211ffdc4f5a7899',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5foffset_23281',['OSC32KCTRL_RTCCTRL_OFFSET',['../component_2osc32kctrl_8h.html#aa2ebbecf02c02fa55aa17bb3f0099fbf',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fresetvalue_23282',['OSC32KCTRL_RTCCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#a7d97c06430481f93acb8a0290e2dad80',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fpos_23283',['OSC32KCTRL_RTCCTRL_RTCSEL_Pos',['../component_2osc32kctrl_8h.html#adcfbd528df699f49aa94aed33cfa1324',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp1k_5fval_23284',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP1K_Val',['../component_2osc32kctrl_8h.html#abc6a6db1dfeac26e74f33b4afd4a1252',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp32k_5fval_23285',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP32K_Val',['../component_2osc32kctrl_8h.html#ae97e1489ab8d1f1a49d8e7e2ad8b7427',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc1k_5fval_23286',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC1K_Val',['../component_2osc32kctrl_8h.html#a14454b0efb7d20c1a14a46ebc86ff167',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc32k_5fval_23287',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC32K_Val',['../component_2osc32kctrl_8h.html#a3a4fae30686693a728ec790fa0bde8e6',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fmask_23288',['OSC32KCTRL_STATUS_MASK',['../component_2osc32kctrl_8h.html#a1951a838cab5c017c20ba51dcea40224',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5foffset_23289',['OSC32KCTRL_STATUS_OFFSET',['../component_2osc32kctrl_8h.html#aac54085818ba483d7ee48d673dee16a4',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fresetvalue_23290',['OSC32KCTRL_STATUS_RESETVALUE',['../component_2osc32kctrl_8h.html#a9e2afc754dc43d2728983182cadff4f1',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32kfail_5fpos_23291',['OSC32KCTRL_STATUS_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#aaa40c13030939e6facaf0e5e61977ceb',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32krdy_5fpos_23292',['OSC32KCTRL_STATUS_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#aa403dd126839f064ae0c834d2bd63477',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32ksw_5fpos_23293',['OSC32KCTRL_STATUS_XOSC32KSW_Pos',['../component_2osc32kctrl_8h.html#a747587aa6615db76b74bf6f40ecd6f78',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fhs_5fval_23294',['OSC32KCTRL_XOSC32K_CGM_HS_Val',['../component_2osc32kctrl_8h.html#a5861dda7b93a256f983a20230827df31',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fpos_23295',['OSC32KCTRL_XOSC32K_CGM_Pos',['../component_2osc32kctrl_8h.html#a2951861a2035901bcd3f214e894a1a5e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fxt_5fval_23296',['OSC32KCTRL_XOSC32K_CGM_XT_Val',['../component_2osc32kctrl_8h.html#a13a89e5964df1c5525050900094eda55',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen1k_5fpos_23297',['OSC32KCTRL_XOSC32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#ade7145443f1f0bbd5705dce47e2e990e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen32k_5fpos_23298',['OSC32KCTRL_XOSC32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a746636fe077be073185aec9422a5c7a6',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fenable_5fpos_23299',['OSC32KCTRL_XOSC32K_ENABLE_Pos',['../component_2osc32kctrl_8h.html#a0d7122ed419157c43c7c76da24469f6e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fmask_23300',['OSC32KCTRL_XOSC32K_MASK',['../component_2osc32kctrl_8h.html#ad0a22f67c5bcc3d0f0df3840c623f7ec',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5foffset_23301',['OSC32KCTRL_XOSC32K_OFFSET',['../component_2osc32kctrl_8h.html#a4c8965d920445c75c0c2c3ef28b2a642',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fondemand_5fpos_23302',['OSC32KCTRL_XOSC32K_ONDEMAND_Pos',['../component_2osc32kctrl_8h.html#a3f72f0a3fe37daf64ad90aa1041cc747',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fresetvalue_23303',['OSC32KCTRL_XOSC32K_RESETVALUE',['../component_2osc32kctrl_8h.html#a387f876de09ea1649ef2298d27e83c00',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5frunstdby_5fpos_23304',['OSC32KCTRL_XOSC32K_RUNSTDBY_Pos',['../component_2osc32kctrl_8h.html#aab719702395f394c3f8ab2a0862e3c62',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fstartup_5fpos_23305',['OSC32KCTRL_XOSC32K_STARTUP_Pos',['../component_2osc32kctrl_8h.html#ace3a98c58164bac82b192e5c04bbc4f8',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fwrtlock_5fpos_23306',['OSC32KCTRL_XOSC32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#aac0d0821539272a535011934da425e8b',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fxtalen_5fpos_23307',['OSC32KCTRL_XOSC32K_XTALEN_Pos',['../component_2osc32kctrl_8h.html#aab290b9fde2ce0432bd019281585e60c',1,'osc32kctrl.h']]],
['oscctrl_23308',['OSCCTRL',['../same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p20a.h']]],
['oscctrl_5fdfllctrla_5fenable_5fpos_23309',['OSCCTRL_DFLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#ac52718d932dc91aced9a43bcc0a30f67',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fmask_23310',['OSCCTRL_DFLLCTRLA_MASK',['../component_2oscctrl_8h.html#a8da8bde82b88385d7a0450edc12d4788',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5foffset_23311',['OSCCTRL_DFLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a66a0e69b3ee663b2d47a635641b3f830',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fondemand_5fpos_23312',['OSCCTRL_DFLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ac55896db5f5513fffbead77d7725c7d4',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fresetvalue_23313',['OSCCTRL_DFLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a8e3996f83720641b903cbb6d9ec384ad',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5frunstdby_5fpos_23314',['OSCCTRL_DFLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#a6e18e8612332ec33be46da48d6af6326',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fbplckc_5fpos_23315',['OSCCTRL_DFLLCTRLB_BPLCKC_Pos',['../component_2oscctrl_8h.html#aa51375783f841ae924335bcd1e11a9e7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fccdis_5fpos_23316',['OSCCTRL_DFLLCTRLB_CCDIS_Pos',['../component_2oscctrl_8h.html#afd696a109b6a505c8979712490300871',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fllaw_5fpos_23317',['OSCCTRL_DFLLCTRLB_LLAW_Pos',['../component_2oscctrl_8h.html#aadbf916c853f33b2bfdb3aac1f51305e',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmask_23318',['OSCCTRL_DFLLCTRLB_MASK',['../component_2oscctrl_8h.html#a8e776a7b4b70ff9cc15cee6dbc792e80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmode_5fpos_23319',['OSCCTRL_DFLLCTRLB_MODE_Pos',['../component_2oscctrl_8h.html#a81a2b2a576221303235f1662fa482dc7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5foffset_23320',['OSCCTRL_DFLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a69e718033e7a0dfb51ba54cadaca79eb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fqldis_5fpos_23321',['OSCCTRL_DFLLCTRLB_QLDIS_Pos',['../component_2oscctrl_8h.html#af137760440a258da8ff5058cd0ba8feb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fresetvalue_23322',['OSCCTRL_DFLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a3febab6fa467422e1ef45900924b8d80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fstable_5fpos_23323',['OSCCTRL_DFLLCTRLB_STABLE_Pos',['../component_2oscctrl_8h.html#ab3f3fa0d56fef05164fa2d4c782e7328',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fusbcrm_5fpos_23324',['OSCCTRL_DFLLCTRLB_USBCRM_Pos',['../component_2oscctrl_8h.html#afe8c1970e53420b740d6a340f832eb78',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fwaitlock_5fpos_23325',['OSCCTRL_DFLLCTRLB_WAITLOCK_Pos',['../component_2oscctrl_8h.html#a9b1b8f771d56ce2d7b917c28a48ac724',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fcstep_5fpos_23326',['OSCCTRL_DFLLMUL_CSTEP_Pos',['../component_2oscctrl_8h.html#a0c8450b117abc6c2de82b7b1fc96297a',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ffstep_5fpos_23327',['OSCCTRL_DFLLMUL_FSTEP_Pos',['../component_2oscctrl_8h.html#a4f5fe6a1887b7f8b49c1ff906c00d3c0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmask_23328',['OSCCTRL_DFLLMUL_MASK',['../component_2oscctrl_8h.html#af806f0aed246525bd1f1244e66da2550',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmul_5fpos_23329',['OSCCTRL_DFLLMUL_MUL_Pos',['../component_2oscctrl_8h.html#af28d647c2e21f62492d2fb7dae6379c1',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5foffset_23330',['OSCCTRL_DFLLMUL_OFFSET',['../component_2oscctrl_8h.html#acbc7ecae09d7c5833c15e713518f6af0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fresetvalue_23331',['OSCCTRL_DFLLMUL_RESETVALUE',['../component_2oscctrl_8h.html#a16ffd9100a1b1e248997caaf180cc818',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllctrlb_5fpos_23332',['OSCCTRL_DFLLSYNC_DFLLCTRLB_Pos',['../component_2oscctrl_8h.html#af1d1777c5fbac95e3e98d57d1d2a39a9',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllmul_5fpos_23333',['OSCCTRL_DFLLSYNC_DFLLMUL_Pos',['../component_2oscctrl_8h.html#a52e6dad6dbda589bfb0cbc57afe2e3fd',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllval_5fpos_23334',['OSCCTRL_DFLLSYNC_DFLLVAL_Pos',['../component_2oscctrl_8h.html#a07da10ead25b5789ea16707e059a24e8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fenable_5fpos_23335',['OSCCTRL_DFLLSYNC_ENABLE_Pos',['../component_2oscctrl_8h.html#adff68bf675fb7f9c30aa5e96c1e478f8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fmask_23336',['OSCCTRL_DFLLSYNC_MASK',['../component_2oscctrl_8h.html#afd97d4fa228e779e98b8706cd34934c2',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5foffset_23337',['OSCCTRL_DFLLSYNC_OFFSET',['../component_2oscctrl_8h.html#ae788363f83086b565eb6735cbb2e9ea0',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fresetvalue_23338',['OSCCTRL_DFLLSYNC_RESETVALUE',['../component_2oscctrl_8h.html#ae8a44ba0fa19b83e9660609fcad38949',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fcoarse_5fpos_23339',['OSCCTRL_DFLLVAL_COARSE_Pos',['../component_2oscctrl_8h.html#a4060e9896f8ecee10e8c47b916b0a036',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fdiff_5fpos_23340',['OSCCTRL_DFLLVAL_DIFF_Pos',['../component_2oscctrl_8h.html#a3285312e7cb0b1dc1211b6e67bf9444d',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ffine_5fpos_23341',['OSCCTRL_DFLLVAL_FINE_Pos',['../component_2oscctrl_8h.html#acbf24eb2695191929f7fe5384e93ac82',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fmask_23342',['OSCCTRL_DFLLVAL_MASK',['../component_2oscctrl_8h.html#a9f0663f26a54cc0857ad891963fd7d2e',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5foffset_23343',['OSCCTRL_DFLLVAL_OFFSET',['../component_2oscctrl_8h.html#a73984d1b76d49184781e4b3f31114b2f',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fresetvalue_23344',['OSCCTRL_DFLLVAL_RESETVALUE',['../component_2oscctrl_8h.html#a698a0db24626335bd0120330a28bdfc3',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fenable_5fpos_23345',['OSCCTRL_DPLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#a0b469beaddd68ce9ea7fca6c05e9ada5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fmask_23346',['OSCCTRL_DPLLCTRLA_MASK',['../component_2oscctrl_8h.html#ad1b1636763f7932da29230659b6f1482',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5foffset_23347',['OSCCTRL_DPLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a37a4733b04ee101902f2512b99059cd5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fondemand_5fpos_23348',['OSCCTRL_DPLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ad9e01b631ceb9a9cd32ae5bc6e0f0483',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fresetvalue_23349',['OSCCTRL_DPLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a1753de286cb86ad6e147893f3413bd61',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5frunstdby_5fpos_23350',['OSCCTRL_DPLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#afef60db26a0859cab97dfc76903c6156',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcoen_5fpos_23351',['OSCCTRL_DPLLCTRLB_DCOEN_Pos',['../component_2oscctrl_8h.html#a2f1d7d6d5f218e9011e9e74c3073e74f',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcofilter_5fpos_23352',['OSCCTRL_DPLLCTRLB_DCOFILTER_Pos',['../component_2oscctrl_8h.html#ae9ed5ffff32ec37cf905e90bc0d6165a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdiv_5fpos_23353',['OSCCTRL_DPLLCTRLB_DIV_Pos',['../component_2oscctrl_8h.html#a4be649bdf3f99bbda9c530dbfadd27ef',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ffilter_5fpos_23354',['OSCCTRL_DPLLCTRLB_FILTER_Pos',['../component_2oscctrl_8h.html#a8df5368ebb5161543ef658da3a849a5e',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5flbypass_5fpos_23355',['OSCCTRL_DPLLCTRLB_LBYPASS_Pos',['../component_2oscctrl_8h.html#a7ea92e3e4b6ef826bbdc4b861343472d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1ms_5fval_23356',['OSCCTRL_DPLLCTRLB_LTIME_1MS_Val',['../component_2oscctrl_8h.html#acdf2a38bd236df0c88dc690bcb92f0af',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1p1ms_5fval_23357',['OSCCTRL_DPLLCTRLB_LTIME_1P1MS_Val',['../component_2oscctrl_8h.html#a042bd49e50a351e297ce6d85b74d5c97',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f800us_5fval_23358',['OSCCTRL_DPLLCTRLB_LTIME_800US_Val',['../component_2oscctrl_8h.html#a77761eb0e9f20588023d1685f2fed4c7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f900us_5fval_23359',['OSCCTRL_DPLLCTRLB_LTIME_900US_Val',['../component_2oscctrl_8h.html#ac0731b39348d76cc048e022643f81e7a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fdefault_5fval_23360',['OSCCTRL_DPLLCTRLB_LTIME_DEFAULT_Val',['../component_2oscctrl_8h.html#a8ddcaa2262fb02d4d166efb6cab6cdce',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fpos_23361',['OSCCTRL_DPLLCTRLB_LTIME_Pos',['../component_2oscctrl_8h.html#a548d60cb7bc67169f8aae58e9172405d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fmask_23362',['OSCCTRL_DPLLCTRLB_MASK',['../component_2oscctrl_8h.html#a05fc8d7b773b1d682b972d9f4101001d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5foffset_23363',['OSCCTRL_DPLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a71dc4af9ba22fbd5c6aa3d5d371d9a59',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fgclk_5fval_23364',['OSCCTRL_DPLLCTRLB_REFCLK_GCLK_Val',['../component_2oscctrl_8h.html#a38354933951893dbb52e7e27cb9f1dac',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fpos_23365',['OSCCTRL_DPLLCTRLB_REFCLK_Pos',['../component_2oscctrl_8h.html#ad0796705a34fa0f49ef3bd5384a9bcb7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc0_5fval_23366',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC0_Val',['../component_2oscctrl_8h.html#ae7a65d1ec10c7884fc767e29df247358',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc1_5fval_23367',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC1_Val',['../component_2oscctrl_8h.html#a9736f8eba0ea7cb54ca311a8066a2949',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc32_5fval_23368',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC32_Val',['../component_2oscctrl_8h.html#a4a987808375b88b41ddff5932c8f81e5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fresetvalue_23369',['OSCCTRL_DPLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a49595cc57a3da5b0553aebc31760e7ca',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fwuf_5fpos_23370',['OSCCTRL_DPLLCTRLB_WUF_Pos',['../component_2oscctrl_8h.html#a93ec22d41bd1fbe0745a29b207d8691b',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldr_5fpos_23371',['OSCCTRL_DPLLRATIO_LDR_Pos',['../component_2oscctrl_8h.html#a8eca3bc229c889cd3d3779dc929c643f',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldrfrac_5fpos_23372',['OSCCTRL_DPLLRATIO_LDRFRAC_Pos',['../component_2oscctrl_8h.html#aef38c55c07d00397f447987ed0bc9bcc',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fmask_23373',['OSCCTRL_DPLLRATIO_MASK',['../component_2oscctrl_8h.html#a2f63ccd4be69ce0228fea5b36f5759c8',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5foffset_23374',['OSCCTRL_DPLLRATIO_OFFSET',['../component_2oscctrl_8h.html#a60bf82218bf0deb9cc9cfcc05db4f5ee',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fresetvalue_23375',['OSCCTRL_DPLLRATIO_RESETVALUE',['../component_2oscctrl_8h.html#a0644b362777fc4bd26ff3be75521a753',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fclkrdy_5fpos_23376',['OSCCTRL_DPLLSTATUS_CLKRDY_Pos',['../component_2oscctrl_8h.html#a7050091daa0c7060570b8fb41a4396c7',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5flock_5fpos_23377',['OSCCTRL_DPLLSTATUS_LOCK_Pos',['../component_2oscctrl_8h.html#a569aa091512f4853d45f9217287f49ee',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fmask_23378',['OSCCTRL_DPLLSTATUS_MASK',['../component_2oscctrl_8h.html#a9594a8635037d6f6303db8bb1f9abede',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5foffset_23379',['OSCCTRL_DPLLSTATUS_OFFSET',['../component_2oscctrl_8h.html#a7187cfcdd8e6b42e88eff7617a051506',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fresetvalue_23380',['OSCCTRL_DPLLSTATUS_RESETVALUE',['../component_2oscctrl_8h.html#a915e0da834493a69f9efaafc12a411d3',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fdpllratio_5fpos_23381',['OSCCTRL_DPLLSYNCBUSY_DPLLRATIO_Pos',['../component_2oscctrl_8h.html#ab2f0bc0ad59bccd246390ccd8886542d',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fenable_5fpos_23382',['OSCCTRL_DPLLSYNCBUSY_ENABLE_Pos',['../component_2oscctrl_8h.html#a54af50bfcb6024fc8f7bb09d075c76af',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fmask_23383',['OSCCTRL_DPLLSYNCBUSY_MASK',['../component_2oscctrl_8h.html#afbce2b9bec1e87b9116643abd7b77d6b',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5foffset_23384',['OSCCTRL_DPLLSYNCBUSY_OFFSET',['../component_2oscctrl_8h.html#a2c5a41f1265f4fa368dd76a5e906a6ff',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fresetvalue_23385',['OSCCTRL_DPLLSYNCBUSY_RESETVALUE',['../component_2oscctrl_8h.html#ad7e00f58be72dd69d9a2115fbe2d08bf',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo0_5fpos_23386',['OSCCTRL_EVCTRL_CFDEO0_Pos',['../component_2oscctrl_8h.html#ad86022dc131fd85aab7434a187d52d2b',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo1_5fpos_23387',['OSCCTRL_EVCTRL_CFDEO1_Pos',['../component_2oscctrl_8h.html#abfca963e158e3751e6eef579dc8e2459',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo_5fpos_23388',['OSCCTRL_EVCTRL_CFDEO_Pos',['../component_2oscctrl_8h.html#a3a810998724eb0a551b11ebad8af0c3f',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fmask_23389',['OSCCTRL_EVCTRL_MASK',['../component_2oscctrl_8h.html#ae40fb603cd76abcad4d1181cf7f806bb',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5foffset_23390',['OSCCTRL_EVCTRL_OFFSET',['../component_2oscctrl_8h.html#a996fe1676326158a46ec7ca799f6c476',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fresetvalue_23391',['OSCCTRL_EVCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a3da520e04154e8d0d4e075f4b925d07e',1,'oscctrl.h']]],
['oscctrl_5finst_5fnum_23392',['OSCCTRL_INST_NUM',['../same54n19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p20a.h']]],
['oscctrl_5finsts_23393',['OSCCTRL_INSTS',['../same54n19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p20a.h']]],
['oscctrl_5fintenclr_5fdflllckc_5fpos_23394',['OSCCTRL_INTENCLR_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#acfd407452687ecbe98f2092c48c9cf0b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflllckf_5fpos_23395',['OSCCTRL_INTENCLR_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#aedeada806272464f02c40c311aa13580',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflloob_5fpos_23396',['OSCCTRL_INTENCLR_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a42b59a19205fb09e83323b23751da9cc',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrcs_5fpos_23397',['OSCCTRL_INTENCLR_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a6777b5190c055d4589c685a6672fe9f4',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrdy_5fpos_23398',['OSCCTRL_INTENCLR_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a34cb24a7f76328d1bb22092a9d238e1a',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckf_5fpos_23399',['OSCCTRL_INTENCLR_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#aeec58398a0b8f3a65d683f1a41754ca9',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckr_5fpos_23400',['OSCCTRL_INTENCLR_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#ac19aede6406fd2f749d3570bc7a9c84e',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0ldrto_5fpos_23401',['OSCCTRL_INTENCLR_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#afcc038fd5ff3f0410e46081f764caf71',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lto_5fpos_23402',['OSCCTRL_INTENCLR_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ac5e6c94a61400538770c5b1220372e84',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckf_5fpos_23403',['OSCCTRL_INTENCLR_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#acc1a03dc449ded12c7c04ece882f168d',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckr_5fpos_23404',['OSCCTRL_INTENCLR_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a354c3795d5d51b019d89650327cd58cd',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1ldrto_5fpos_23405',['OSCCTRL_INTENCLR_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#afb9da290c0b133fc1bf6e386b0db0ff0',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lto_5fpos_23406',['OSCCTRL_INTENCLR_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a22e5d45e06513d280860be62567ec1ce',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fmask_23407',['OSCCTRL_INTENCLR_MASK',['../component_2oscctrl_8h.html#a491d06abacebcf79ae6c8ab2ce06fc03',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5foffset_23408',['OSCCTRL_INTENCLR_OFFSET',['../component_2oscctrl_8h.html#a3f1d364493d38c935e8c304effcf6801',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fresetvalue_23409',['OSCCTRL_INTENCLR_RESETVALUE',['../component_2oscctrl_8h.html#ad54389e0eae425ccea549728bfe6bd79',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail0_5fpos_23410',['OSCCTRL_INTENCLR_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#ad4ac60ee270bc85644b0258cbdf21db2',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail1_5fpos_23411',['OSCCTRL_INTENCLR_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#ad11a3dbaa23fd72f3f236d263cd7b1be',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail_5fpos_23412',['OSCCTRL_INTENCLR_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a391ebec0501a1af9e44d0096e2ab1d39',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy0_5fpos_23413',['OSCCTRL_INTENCLR_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a1e83a14bcf2fd2c1318d219ae498e8da',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy1_5fpos_23414',['OSCCTRL_INTENCLR_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1c8c35169fba19f6288bd1af4ff29c4b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy_5fpos_23415',['OSCCTRL_INTENCLR_XOSCRDY_Pos',['../component_2oscctrl_8h.html#ad840e74b4b8a5bce231c57763f3d6a27',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckc_5fpos_23416',['OSCCTRL_INTENSET_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a6ad90e329e97b3b3e480ef583fdf3eb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckf_5fpos_23417',['OSCCTRL_INTENSET_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#af38520e46a7d6f00aad451bc70ce0ce9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflloob_5fpos_23418',['OSCCTRL_INTENSET_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ab911e0fe7e6ea5dc65c44ae1718d7d32',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrcs_5fpos_23419',['OSCCTRL_INTENSET_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a67b99d880e5ac94cee8f4cece41756d5',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrdy_5fpos_23420',['OSCCTRL_INTENSET_DFLLRDY_Pos',['../component_2oscctrl_8h.html#aed032ff8ad78e9e4d9e0694dfbd26c38',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckf_5fpos_23421',['OSCCTRL_INTENSET_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a0c306bc4b7b53596e9f8b28f241f1738',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckr_5fpos_23422',['OSCCTRL_INTENSET_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a25324df8b7fcade4fc325aaa3a10efba',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0ldrto_5fpos_23423',['OSCCTRL_INTENSET_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a88ff4ba5e521a293381bb6a81ee96ff9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lto_5fpos_23424',['OSCCTRL_INTENSET_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#a577dbcbbc73c82bd44799a553e761631',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckf_5fpos_23425',['OSCCTRL_INTENSET_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#ac2424b835502481d298d7b45d8d191c2',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckr_5fpos_23426',['OSCCTRL_INTENSET_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a984e977ed8becf685c4ba9e54b4a88d6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1ldrto_5fpos_23427',['OSCCTRL_INTENSET_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ea2cc759e75ba1cc146354cb04ca4da',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lto_5fpos_23428',['OSCCTRL_INTENSET_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a786f9307087e16a6a3de282cdbc8580a',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fmask_23429',['OSCCTRL_INTENSET_MASK',['../component_2oscctrl_8h.html#a58580ac326b8ea2f4f59a3f12a9baae3',1,'oscctrl.h']]],
['oscctrl_5fintenset_5foffset_23430',['OSCCTRL_INTENSET_OFFSET',['../component_2oscctrl_8h.html#ac255483d2540447cf58a2ff1c9227c4c',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fresetvalue_23431',['OSCCTRL_INTENSET_RESETVALUE',['../component_2oscctrl_8h.html#a59a8baa4ba504f85756373bebf779abd',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail0_5fpos_23432',['OSCCTRL_INTENSET_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#adedd337090ca68da118c46ff224cd67b',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail1_5fpos_23433',['OSCCTRL_INTENSET_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a5dfbec57e3a4ddf4ff16808664dce852',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail_5fpos_23434',['OSCCTRL_INTENSET_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#ac85971e558aa827cf8a346fd73da42ce',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy0_5fpos_23435',['OSCCTRL_INTENSET_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#ac39d4f23555a607bce97a892f86b4406',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy1_5fpos_23436',['OSCCTRL_INTENSET_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1706bb7612abb1b8604b528df8d74fb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy_5fpos_23437',['OSCCTRL_INTENSET_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a51f8fe67474d300dae1f69f7877fcb21',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckc_5fpos_23438',['OSCCTRL_INTFLAG_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a2a4786711d775d7a9a3c56f1fcfaea2f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckf_5fpos_23439',['OSCCTRL_INTFLAG_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#a6fbc9c37d06550c125c08973065bba1f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflloob_5fpos_23440',['OSCCTRL_INTFLAG_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ae2b4598023dd48f296dc3ed55faa93f7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrcs_5fpos_23441',['OSCCTRL_INTFLAG_DFLLRCS_Pos',['../component_2oscctrl_8h.html#aef59605a23b051cdd044b9635be34116',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrdy_5fpos_23442',['OSCCTRL_INTFLAG_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a6d6c5edd1a31c2185011f2966f4d67e7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckf_5fpos_23443',['OSCCTRL_INTFLAG_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#ad06bbc0757c0248ee56178ab6a48c28f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckr_5fpos_23444',['OSCCTRL_INTFLAG_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a3a0cc7a56afc262dd18f4b3cd2e68ff2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0ldrto_5fpos_23445',['OSCCTRL_INTFLAG_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a4829b1e7eec271be816ef664af6af236',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lto_5fpos_23446',['OSCCTRL_INTFLAG_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ae9046f4d5ef37e7cde2ca88db111963b',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckf_5fpos_23447',['OSCCTRL_INTFLAG_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#a0d2c2110aa97643a7e19b88e4b3e904d',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckr_5fpos_23448',['OSCCTRL_INTFLAG_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a108254e167a37e6e4dfb9519bc11209e',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1ldrto_5fpos_23449',['OSCCTRL_INTFLAG_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ac997517d000d7d52c080327bbc6dba',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lto_5fpos_23450',['OSCCTRL_INTFLAG_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#aaa1a96063c00c12713e8873ecd40eb3c',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fmask_23451',['OSCCTRL_INTFLAG_MASK',['../component_2oscctrl_8h.html#aedd8a9f620939d751bf1a447933cefa1',1,'oscctrl.h']]],
['oscctrl_5fintflag_5foffset_23452',['OSCCTRL_INTFLAG_OFFSET',['../component_2oscctrl_8h.html#ad4379aa6be2f266b44248cf95b73bbc2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fresetvalue_23453',['OSCCTRL_INTFLAG_RESETVALUE',['../component_2oscctrl_8h.html#af36ade32e3a82aa932c61c67dd1051d2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail0_5fpos_23454',['OSCCTRL_INTFLAG_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a298eee00dead4a0e52d64f9c5a1efb57',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail1_5fpos_23455',['OSCCTRL_INTFLAG_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a3814eb8fda4eac82c6f3fee253642a5a',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail_5fpos_23456',['OSCCTRL_INTFLAG_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a541a47ea56a176d322064f0a04db6fa8',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy0_5fpos_23457',['OSCCTRL_INTFLAG_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a2f5f25844f47105e86c7d844372648ec',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy1_5fpos_23458',['OSCCTRL_INTFLAG_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a64a72de75c54729a2b5629e31c6fe139',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy_5fpos_23459',['OSCCTRL_INTFLAG_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a1c522599d8b45dd46183b68afb7c4179',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckc_5fpos_23460',['OSCCTRL_STATUS_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a1afa368e7e15936f20fc585a75ae2364',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckf_5fpos_23461',['OSCCTRL_STATUS_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#ab0f92bd1bac8899184a0c9e827cb893e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflloob_5fpos_23462',['OSCCTRL_STATUS_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a609a88a7dd6e51e13db39120c06e7802',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrcs_5fpos_23463',['OSCCTRL_STATUS_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a9d70ec5c52fd967af44a913183821e50',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrdy_5fpos_23464',['OSCCTRL_STATUS_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a93a88764e52b7292e9585f31459bab2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckf_5fpos_23465',['OSCCTRL_STATUS_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a42192591909d1d068452a5d5a58fd35f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckr_5fpos_23466',['OSCCTRL_STATUS_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a2e36f4769f2b83a008449bcf77301ec1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0ldrto_5fpos_23467',['OSCCTRL_STATUS_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a171a4fad2acfea2d53ba64333841c764',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0to_5fpos_23468',['OSCCTRL_STATUS_DPLL0TO_Pos',['../component_2oscctrl_8h.html#a28629f6bf7e47edc104c8077871944b2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckf_5fpos_23469',['OSCCTRL_STATUS_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#aee59dcd46d23a4cf661080d023e23d67',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckr_5fpos_23470',['OSCCTRL_STATUS_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a2f22483696b4c4d0589a2d17dab8779f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1ldrto_5fpos_23471',['OSCCTRL_STATUS_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a69fb155bb3b94f7a315d3aa75948f3f0',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1to_5fpos_23472',['OSCCTRL_STATUS_DPLL1TO_Pos',['../component_2oscctrl_8h.html#a467800779492045ae90a78e39f45ebf3',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fmask_23473',['OSCCTRL_STATUS_MASK',['../component_2oscctrl_8h.html#a9f82ecd17b8fa59428ef3e31aea63aa6',1,'oscctrl.h']]],
['oscctrl_5fstatus_5foffset_23474',['OSCCTRL_STATUS_OFFSET',['../component_2oscctrl_8h.html#ad7dd78458893930f5f6800c743f745da',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fresetvalue_23475',['OSCCTRL_STATUS_RESETVALUE',['../component_2oscctrl_8h.html#a42375dcec180c57773050c0e0cd04b2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw0_5fpos_23476',['OSCCTRL_STATUS_XOSCCKSW0_Pos',['../component_2oscctrl_8h.html#a31651f5f133ef03501a0c19af590af91',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw1_5fpos_23477',['OSCCTRL_STATUS_XOSCCKSW1_Pos',['../component_2oscctrl_8h.html#a4a12531e0d9bf58fe06a568f7084f4de',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw_5fpos_23478',['OSCCTRL_STATUS_XOSCCKSW_Pos',['../component_2oscctrl_8h.html#a9122c98578dbba6b79b1d4e8dc0c21f1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail0_5fpos_23479',['OSCCTRL_STATUS_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a9065ab905aa937a21f1faf221841f1af',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail1_5fpos_23480',['OSCCTRL_STATUS_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a867c59248b265b1c6945fce69ec0bde1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail_5fpos_23481',['OSCCTRL_STATUS_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a6d1cd3df10786cca948c08469baca892',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy0_5fpos_23482',['OSCCTRL_STATUS_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a68f494fbbdff8221ff788900f64ce3f2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy1_5fpos_23483',['OSCCTRL_STATUS_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#ab7aa6e77ead6ec196101e548cf0cf339',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy_5fpos_23484',['OSCCTRL_STATUS_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a068335c4490f6266cd1b8ac6452c0775',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfden_5fpos_23485',['OSCCTRL_XOSCCTRL_CFDEN_Pos',['../component_2oscctrl_8h.html#a60d8c5bfc01b31ca34b5e519c831476d',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfdpresc_5fpos_23486',['OSCCTRL_XOSCCTRL_CFDPRESC_Pos',['../component_2oscctrl_8h.html#a8dc33d9d6ebbf5568858f380af797476',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenable_5fpos_23487',['OSCCTRL_XOSCCTRL_ENABLE_Pos',['../component_2oscctrl_8h.html#a55753823c79f012a07776d32fb431e50',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenalc_5fpos_23488',['OSCCTRL_XOSCCTRL_ENALC_Pos',['../component_2oscctrl_8h.html#aca0949c12da428ff0ae358a16d53a346',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fimult_5fpos_23489',['OSCCTRL_XOSCCTRL_IMULT_Pos',['../component_2oscctrl_8h.html#abd250ec9e51c26d98151644963c508e2',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fiptat_5fpos_23490',['OSCCTRL_XOSCCTRL_IPTAT_Pos',['../component_2oscctrl_8h.html#a3003e6ff068504def0961267faa43879',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5flowbufgain_5fpos_23491',['OSCCTRL_XOSCCTRL_LOWBUFGAIN_Pos',['../component_2oscctrl_8h.html#a84c7d7844390844005bc5f34bc700e59',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fmask_23492',['OSCCTRL_XOSCCTRL_MASK',['../component_2oscctrl_8h.html#a3569b3c01688e4b0d67f24f451162353',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5foffset_23493',['OSCCTRL_XOSCCTRL_OFFSET',['../component_2oscctrl_8h.html#ab61ec167eaec3b37ce0a5e09811eb519',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fondemand_5fpos_23494',['OSCCTRL_XOSCCTRL_ONDEMAND_Pos',['../component_2oscctrl_8h.html#a3d087d7558147bb7da1da3c88ea2103c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fresetvalue_23495',['OSCCTRL_XOSCCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a1e702ca999cd2ea9e938976d66e4d5e8',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5frunstdby_5fpos_23496',['OSCCTRL_XOSCCTRL_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#ae633882a1d2a6b483181e4256d074c0e',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fstartup_5fpos_23497',['OSCCTRL_XOSCCTRL_STARTUP_Pos',['../component_2oscctrl_8h.html#a4e50b7ee8f0aed0e3ffaf064b9febc35',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fswben_5fpos_23498',['OSCCTRL_XOSCCTRL_SWBEN_Pos',['../component_2oscctrl_8h.html#a3c2bdacb812dab99256add8f91dafa0c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fxtalen_5fpos_23499',['OSCCTRL_XOSCCTRL_XTALEN_Pos',['../component_2oscctrl_8h.html#a46fe198075472a490bede30dd47abddd',1,'oscctrl.h']]]
['osc32kctrl_23315',['OSC32KCTRL',['../same54n19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#af1a4b8f1d1a2265b93f22621f7903f88',1,'OSC32KCTRL():&#160;same54p20a.h']]],
['osc32kctrl_5fcfdctrl_5fcfden_5fpos_23316',['OSC32KCTRL_CFDCTRL_CFDEN_Pos',['../component_2osc32kctrl_8h.html#a2aedb65f916f976703240140126904f6',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fcfdpresc_5fpos_23317',['OSC32KCTRL_CFDCTRL_CFDPRESC_Pos',['../component_2osc32kctrl_8h.html#a2dc36bba505b43a70628172895e4cee3',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fmask_23318',['OSC32KCTRL_CFDCTRL_MASK',['../component_2osc32kctrl_8h.html#a3fec783d5e565f11fe77f4ae88a9876d',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5foffset_23319',['OSC32KCTRL_CFDCTRL_OFFSET',['../component_2osc32kctrl_8h.html#ab2fbb0a95bf2348b26d6eb55d9488e27',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fresetvalue_23320',['OSC32KCTRL_CFDCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#ab195093fda704bdb95893108576c6ba5',1,'osc32kctrl.h']]],
['osc32kctrl_5fcfdctrl_5fswback_5fpos_23321',['OSC32KCTRL_CFDCTRL_SWBACK_Pos',['../component_2osc32kctrl_8h.html#a2e8a160a709d649bf92161a98ed35068',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fcfdeo_5fpos_23322',['OSC32KCTRL_EVCTRL_CFDEO_Pos',['../component_2osc32kctrl_8h.html#a71fb66c27d4c80fa73af5641ef5083ae',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fmask_23323',['OSC32KCTRL_EVCTRL_MASK',['../component_2osc32kctrl_8h.html#a8c5fd77028706618775ef03e5b6fd146',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5foffset_23324',['OSC32KCTRL_EVCTRL_OFFSET',['../component_2osc32kctrl_8h.html#abe8425e24e008b4160dd1756dc5c4e66',1,'osc32kctrl.h']]],
['osc32kctrl_5fevctrl_5fresetvalue_23325',['OSC32KCTRL_EVCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#aced57526fa5e5b62a8f4bebb37deea0f',1,'osc32kctrl.h']]],
['osc32kctrl_5finst_5fnum_23326',['OSC32KCTRL_INST_NUM',['../same54n19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a12ad377f8ff670c2f91332a85e2190e4',1,'OSC32KCTRL_INST_NUM():&#160;same54p20a.h']]],
['osc32kctrl_5finsts_23327',['OSC32KCTRL_INSTS',['../same54n19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a307bea6d5322a15ea71933fbf1c06c5c',1,'OSC32KCTRL_INSTS():&#160;same54p20a.h']]],
['osc32kctrl_5fintenclr_5fmask_23328',['OSC32KCTRL_INTENCLR_MASK',['../component_2osc32kctrl_8h.html#a753f913b2693500c8b4c878c5ed1e60a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5foffset_23329',['OSC32KCTRL_INTENCLR_OFFSET',['../component_2osc32kctrl_8h.html#a22544563390854dfa7f044a4b83abb54',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fresetvalue_23330',['OSC32KCTRL_INTENCLR_RESETVALUE',['../component_2osc32kctrl_8h.html#ad3aafd31e91ecf11f10d847aca17c178',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32kfail_5fpos_23331',['OSC32KCTRL_INTENCLR_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#af25e6f5cc19d74258a0272cab04cc74e',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenclr_5fxosc32krdy_5fpos_23332',['OSC32KCTRL_INTENCLR_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#ac526cada7174d6b98a29d5f0cf44ae97',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fmask_23333',['OSC32KCTRL_INTENSET_MASK',['../component_2osc32kctrl_8h.html#affc01dd3bc722708c644175127d73070',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5foffset_23334',['OSC32KCTRL_INTENSET_OFFSET',['../component_2osc32kctrl_8h.html#acd0c571999e2292d247edb6c3226bc6a',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fresetvalue_23335',['OSC32KCTRL_INTENSET_RESETVALUE',['../component_2osc32kctrl_8h.html#a50b1ca7855eb0e99ad3619bb83f08d14',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32kfail_5fpos_23336',['OSC32KCTRL_INTENSET_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#a7ebf60f93ae5934bdb16757b89c65663',1,'osc32kctrl.h']]],
['osc32kctrl_5fintenset_5fxosc32krdy_5fpos_23337',['OSC32KCTRL_INTENSET_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#af952ca2a3c0659258f67df4af0455662',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fmask_23338',['OSC32KCTRL_INTFLAG_MASK',['../component_2osc32kctrl_8h.html#a7265e7d7ad5a14c6c1c13035721290c2',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5foffset_23339',['OSC32KCTRL_INTFLAG_OFFSET',['../component_2osc32kctrl_8h.html#aec77fa56678a12082b0db8a754fa1750',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fresetvalue_23340',['OSC32KCTRL_INTFLAG_RESETVALUE',['../component_2osc32kctrl_8h.html#a29a58edeb42ff385598a3005004dddf0',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32kfail_5fpos_23341',['OSC32KCTRL_INTFLAG_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#ac64beded2c373e26b4afc3145c5913de',1,'osc32kctrl.h']]],
['osc32kctrl_5fintflag_5fxosc32krdy_5fpos_23342',['OSC32KCTRL_INTFLAG_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#a85c2db168fc2fe2f24f7d01f57721a86',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fcalib_5fpos_23343',['OSC32KCTRL_OSCULP32K_CALIB_Pos',['../component_2osc32kctrl_8h.html#aa2abedc94425667d08d6682c2c4f19cf',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen1k_5fpos_23344',['OSC32KCTRL_OSCULP32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#aadfe0343e0462db3b20dca24186d2681',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fen32k_5fpos_23345',['OSC32KCTRL_OSCULP32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a83057d513fe89052c2f00778184eeb02',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fmask_23346',['OSC32KCTRL_OSCULP32K_MASK',['../component_2osc32kctrl_8h.html#a7b3ca0c8a3b7f6e1b9baf3c1da4cd182',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5foffset_23347',['OSC32KCTRL_OSCULP32K_OFFSET',['../component_2osc32kctrl_8h.html#aa2ae9323b7a5247081c2bfc04f97e8d1',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fresetvalue_23348',['OSC32KCTRL_OSCULP32K_RESETVALUE',['../component_2osc32kctrl_8h.html#ab745111a32543331557e25c5563a4511',1,'osc32kctrl.h']]],
['osc32kctrl_5fosculp32k_5fwrtlock_5fpos_23349',['OSC32KCTRL_OSCULP32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#a591a0a2ca06e742e29fcac7418e0593f',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fmask_23350',['OSC32KCTRL_RTCCTRL_MASK',['../component_2osc32kctrl_8h.html#aa679222a2941c8283211ffdc4f5a7899',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5foffset_23351',['OSC32KCTRL_RTCCTRL_OFFSET',['../component_2osc32kctrl_8h.html#aa2ebbecf02c02fa55aa17bb3f0099fbf',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5fresetvalue_23352',['OSC32KCTRL_RTCCTRL_RESETVALUE',['../component_2osc32kctrl_8h.html#a7d97c06430481f93acb8a0290e2dad80',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fpos_23353',['OSC32KCTRL_RTCCTRL_RTCSEL_Pos',['../component_2osc32kctrl_8h.html#adcfbd528df699f49aa94aed33cfa1324',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp1k_5fval_23354',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP1K_Val',['../component_2osc32kctrl_8h.html#abc6a6db1dfeac26e74f33b4afd4a1252',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fulp32k_5fval_23355',['OSC32KCTRL_RTCCTRL_RTCSEL_ULP32K_Val',['../component_2osc32kctrl_8h.html#ae97e1489ab8d1f1a49d8e7e2ad8b7427',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc1k_5fval_23356',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC1K_Val',['../component_2osc32kctrl_8h.html#a14454b0efb7d20c1a14a46ebc86ff167',1,'osc32kctrl.h']]],
['osc32kctrl_5frtcctrl_5frtcsel_5fxosc32k_5fval_23357',['OSC32KCTRL_RTCCTRL_RTCSEL_XOSC32K_Val',['../component_2osc32kctrl_8h.html#a3a4fae30686693a728ec790fa0bde8e6',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fmask_23358',['OSC32KCTRL_STATUS_MASK',['../component_2osc32kctrl_8h.html#a1951a838cab5c017c20ba51dcea40224',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5foffset_23359',['OSC32KCTRL_STATUS_OFFSET',['../component_2osc32kctrl_8h.html#aac54085818ba483d7ee48d673dee16a4',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fresetvalue_23360',['OSC32KCTRL_STATUS_RESETVALUE',['../component_2osc32kctrl_8h.html#a9e2afc754dc43d2728983182cadff4f1',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32kfail_5fpos_23361',['OSC32KCTRL_STATUS_XOSC32KFAIL_Pos',['../component_2osc32kctrl_8h.html#aaa40c13030939e6facaf0e5e61977ceb',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32krdy_5fpos_23362',['OSC32KCTRL_STATUS_XOSC32KRDY_Pos',['../component_2osc32kctrl_8h.html#aa403dd126839f064ae0c834d2bd63477',1,'osc32kctrl.h']]],
['osc32kctrl_5fstatus_5fxosc32ksw_5fpos_23363',['OSC32KCTRL_STATUS_XOSC32KSW_Pos',['../component_2osc32kctrl_8h.html#a747587aa6615db76b74bf6f40ecd6f78',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fhs_5fval_23364',['OSC32KCTRL_XOSC32K_CGM_HS_Val',['../component_2osc32kctrl_8h.html#a5861dda7b93a256f983a20230827df31',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fpos_23365',['OSC32KCTRL_XOSC32K_CGM_Pos',['../component_2osc32kctrl_8h.html#a2951861a2035901bcd3f214e894a1a5e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fcgm_5fxt_5fval_23366',['OSC32KCTRL_XOSC32K_CGM_XT_Val',['../component_2osc32kctrl_8h.html#a13a89e5964df1c5525050900094eda55',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen1k_5fpos_23367',['OSC32KCTRL_XOSC32K_EN1K_Pos',['../component_2osc32kctrl_8h.html#ade7145443f1f0bbd5705dce47e2e990e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fen32k_5fpos_23368',['OSC32KCTRL_XOSC32K_EN32K_Pos',['../component_2osc32kctrl_8h.html#a746636fe077be073185aec9422a5c7a6',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fenable_5fpos_23369',['OSC32KCTRL_XOSC32K_ENABLE_Pos',['../component_2osc32kctrl_8h.html#a0d7122ed419157c43c7c76da24469f6e',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fmask_23370',['OSC32KCTRL_XOSC32K_MASK',['../component_2osc32kctrl_8h.html#ad0a22f67c5bcc3d0f0df3840c623f7ec',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5foffset_23371',['OSC32KCTRL_XOSC32K_OFFSET',['../component_2osc32kctrl_8h.html#a4c8965d920445c75c0c2c3ef28b2a642',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fondemand_5fpos_23372',['OSC32KCTRL_XOSC32K_ONDEMAND_Pos',['../component_2osc32kctrl_8h.html#a3f72f0a3fe37daf64ad90aa1041cc747',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fresetvalue_23373',['OSC32KCTRL_XOSC32K_RESETVALUE',['../component_2osc32kctrl_8h.html#a387f876de09ea1649ef2298d27e83c00',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5frunstdby_5fpos_23374',['OSC32KCTRL_XOSC32K_RUNSTDBY_Pos',['../component_2osc32kctrl_8h.html#aab719702395f394c3f8ab2a0862e3c62',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fstartup_5fpos_23375',['OSC32KCTRL_XOSC32K_STARTUP_Pos',['../component_2osc32kctrl_8h.html#ace3a98c58164bac82b192e5c04bbc4f8',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fwrtlock_5fpos_23376',['OSC32KCTRL_XOSC32K_WRTLOCK_Pos',['../component_2osc32kctrl_8h.html#aac0d0821539272a535011934da425e8b',1,'osc32kctrl.h']]],
['osc32kctrl_5fxosc32k_5fxtalen_5fpos_23377',['OSC32KCTRL_XOSC32K_XTALEN_Pos',['../component_2osc32kctrl_8h.html#aab290b9fde2ce0432bd019281585e60c',1,'osc32kctrl.h']]],
['oscctrl_23378',['OSCCTRL',['../same54n19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n19a.h'],['../same54n20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54n20a.h'],['../same54p19a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p19a.h'],['../same54p20a_8h.html#a49136ad5bf1adc9e9a0232349bcdce57',1,'OSCCTRL():&#160;same54p20a.h']]],
['oscctrl_5fdfllctrla_5fenable_5fpos_23379',['OSCCTRL_DFLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#ac52718d932dc91aced9a43bcc0a30f67',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fmask_23380',['OSCCTRL_DFLLCTRLA_MASK',['../component_2oscctrl_8h.html#a8da8bde82b88385d7a0450edc12d4788',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5foffset_23381',['OSCCTRL_DFLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a66a0e69b3ee663b2d47a635641b3f830',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fondemand_5fpos_23382',['OSCCTRL_DFLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ac55896db5f5513fffbead77d7725c7d4',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5fresetvalue_23383',['OSCCTRL_DFLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a8e3996f83720641b903cbb6d9ec384ad',1,'oscctrl.h']]],
['oscctrl_5fdfllctrla_5frunstdby_5fpos_23384',['OSCCTRL_DFLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#a6e18e8612332ec33be46da48d6af6326',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fbplckc_5fpos_23385',['OSCCTRL_DFLLCTRLB_BPLCKC_Pos',['../component_2oscctrl_8h.html#aa51375783f841ae924335bcd1e11a9e7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fccdis_5fpos_23386',['OSCCTRL_DFLLCTRLB_CCDIS_Pos',['../component_2oscctrl_8h.html#afd696a109b6a505c8979712490300871',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fllaw_5fpos_23387',['OSCCTRL_DFLLCTRLB_LLAW_Pos',['../component_2oscctrl_8h.html#aadbf916c853f33b2bfdb3aac1f51305e',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmask_23388',['OSCCTRL_DFLLCTRLB_MASK',['../component_2oscctrl_8h.html#a8e776a7b4b70ff9cc15cee6dbc792e80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fmode_5fpos_23389',['OSCCTRL_DFLLCTRLB_MODE_Pos',['../component_2oscctrl_8h.html#a81a2b2a576221303235f1662fa482dc7',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5foffset_23390',['OSCCTRL_DFLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a69e718033e7a0dfb51ba54cadaca79eb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fqldis_5fpos_23391',['OSCCTRL_DFLLCTRLB_QLDIS_Pos',['../component_2oscctrl_8h.html#af137760440a258da8ff5058cd0ba8feb',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fresetvalue_23392',['OSCCTRL_DFLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a3febab6fa467422e1ef45900924b8d80',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fstable_5fpos_23393',['OSCCTRL_DFLLCTRLB_STABLE_Pos',['../component_2oscctrl_8h.html#ab3f3fa0d56fef05164fa2d4c782e7328',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fusbcrm_5fpos_23394',['OSCCTRL_DFLLCTRLB_USBCRM_Pos',['../component_2oscctrl_8h.html#afe8c1970e53420b740d6a340f832eb78',1,'oscctrl.h']]],
['oscctrl_5fdfllctrlb_5fwaitlock_5fpos_23395',['OSCCTRL_DFLLCTRLB_WAITLOCK_Pos',['../component_2oscctrl_8h.html#a9b1b8f771d56ce2d7b917c28a48ac724',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fcstep_5fpos_23396',['OSCCTRL_DFLLMUL_CSTEP_Pos',['../component_2oscctrl_8h.html#a0c8450b117abc6c2de82b7b1fc96297a',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5ffstep_5fpos_23397',['OSCCTRL_DFLLMUL_FSTEP_Pos',['../component_2oscctrl_8h.html#a4f5fe6a1887b7f8b49c1ff906c00d3c0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmask_23398',['OSCCTRL_DFLLMUL_MASK',['../component_2oscctrl_8h.html#af806f0aed246525bd1f1244e66da2550',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fmul_5fpos_23399',['OSCCTRL_DFLLMUL_MUL_Pos',['../component_2oscctrl_8h.html#af28d647c2e21f62492d2fb7dae6379c1',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5foffset_23400',['OSCCTRL_DFLLMUL_OFFSET',['../component_2oscctrl_8h.html#acbc7ecae09d7c5833c15e713518f6af0',1,'oscctrl.h']]],
['oscctrl_5fdfllmul_5fresetvalue_23401',['OSCCTRL_DFLLMUL_RESETVALUE',['../component_2oscctrl_8h.html#a16ffd9100a1b1e248997caaf180cc818',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllctrlb_5fpos_23402',['OSCCTRL_DFLLSYNC_DFLLCTRLB_Pos',['../component_2oscctrl_8h.html#af1d1777c5fbac95e3e98d57d1d2a39a9',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllmul_5fpos_23403',['OSCCTRL_DFLLSYNC_DFLLMUL_Pos',['../component_2oscctrl_8h.html#a52e6dad6dbda589bfb0cbc57afe2e3fd',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fdfllval_5fpos_23404',['OSCCTRL_DFLLSYNC_DFLLVAL_Pos',['../component_2oscctrl_8h.html#a07da10ead25b5789ea16707e059a24e8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fenable_5fpos_23405',['OSCCTRL_DFLLSYNC_ENABLE_Pos',['../component_2oscctrl_8h.html#adff68bf675fb7f9c30aa5e96c1e478f8',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fmask_23406',['OSCCTRL_DFLLSYNC_MASK',['../component_2oscctrl_8h.html#afd97d4fa228e779e98b8706cd34934c2',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5foffset_23407',['OSCCTRL_DFLLSYNC_OFFSET',['../component_2oscctrl_8h.html#ae788363f83086b565eb6735cbb2e9ea0',1,'oscctrl.h']]],
['oscctrl_5fdfllsync_5fresetvalue_23408',['OSCCTRL_DFLLSYNC_RESETVALUE',['../component_2oscctrl_8h.html#ae8a44ba0fa19b83e9660609fcad38949',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fcoarse_5fpos_23409',['OSCCTRL_DFLLVAL_COARSE_Pos',['../component_2oscctrl_8h.html#a4060e9896f8ecee10e8c47b916b0a036',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fdiff_5fpos_23410',['OSCCTRL_DFLLVAL_DIFF_Pos',['../component_2oscctrl_8h.html#a3285312e7cb0b1dc1211b6e67bf9444d',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5ffine_5fpos_23411',['OSCCTRL_DFLLVAL_FINE_Pos',['../component_2oscctrl_8h.html#acbf24eb2695191929f7fe5384e93ac82',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fmask_23412',['OSCCTRL_DFLLVAL_MASK',['../component_2oscctrl_8h.html#a9f0663f26a54cc0857ad891963fd7d2e',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5foffset_23413',['OSCCTRL_DFLLVAL_OFFSET',['../component_2oscctrl_8h.html#a73984d1b76d49184781e4b3f31114b2f',1,'oscctrl.h']]],
['oscctrl_5fdfllval_5fresetvalue_23414',['OSCCTRL_DFLLVAL_RESETVALUE',['../component_2oscctrl_8h.html#a698a0db24626335bd0120330a28bdfc3',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fenable_5fpos_23415',['OSCCTRL_DPLLCTRLA_ENABLE_Pos',['../component_2oscctrl_8h.html#a0b469beaddd68ce9ea7fca6c05e9ada5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fmask_23416',['OSCCTRL_DPLLCTRLA_MASK',['../component_2oscctrl_8h.html#ad1b1636763f7932da29230659b6f1482',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5foffset_23417',['OSCCTRL_DPLLCTRLA_OFFSET',['../component_2oscctrl_8h.html#a37a4733b04ee101902f2512b99059cd5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fondemand_5fpos_23418',['OSCCTRL_DPLLCTRLA_ONDEMAND_Pos',['../component_2oscctrl_8h.html#ad9e01b631ceb9a9cd32ae5bc6e0f0483',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5fresetvalue_23419',['OSCCTRL_DPLLCTRLA_RESETVALUE',['../component_2oscctrl_8h.html#a1753de286cb86ad6e147893f3413bd61',1,'oscctrl.h']]],
['oscctrl_5fdpllctrla_5frunstdby_5fpos_23420',['OSCCTRL_DPLLCTRLA_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#afef60db26a0859cab97dfc76903c6156',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcoen_5fpos_23421',['OSCCTRL_DPLLCTRLB_DCOEN_Pos',['../component_2oscctrl_8h.html#a2f1d7d6d5f218e9011e9e74c3073e74f',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdcofilter_5fpos_23422',['OSCCTRL_DPLLCTRLB_DCOFILTER_Pos',['../component_2oscctrl_8h.html#ae9ed5ffff32ec37cf905e90bc0d6165a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fdiv_5fpos_23423',['OSCCTRL_DPLLCTRLB_DIV_Pos',['../component_2oscctrl_8h.html#a4be649bdf3f99bbda9c530dbfadd27ef',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5ffilter_5fpos_23424',['OSCCTRL_DPLLCTRLB_FILTER_Pos',['../component_2oscctrl_8h.html#a8df5368ebb5161543ef658da3a849a5e',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5flbypass_5fpos_23425',['OSCCTRL_DPLLCTRLB_LBYPASS_Pos',['../component_2oscctrl_8h.html#a7ea92e3e4b6ef826bbdc4b861343472d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1ms_5fval_23426',['OSCCTRL_DPLLCTRLB_LTIME_1MS_Val',['../component_2oscctrl_8h.html#acdf2a38bd236df0c88dc690bcb92f0af',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f1p1ms_5fval_23427',['OSCCTRL_DPLLCTRLB_LTIME_1P1MS_Val',['../component_2oscctrl_8h.html#a042bd49e50a351e297ce6d85b74d5c97',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f800us_5fval_23428',['OSCCTRL_DPLLCTRLB_LTIME_800US_Val',['../component_2oscctrl_8h.html#a77761eb0e9f20588023d1685f2fed4c7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5f900us_5fval_23429',['OSCCTRL_DPLLCTRLB_LTIME_900US_Val',['../component_2oscctrl_8h.html#ac0731b39348d76cc048e022643f81e7a',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fdefault_5fval_23430',['OSCCTRL_DPLLCTRLB_LTIME_DEFAULT_Val',['../component_2oscctrl_8h.html#a8ddcaa2262fb02d4d166efb6cab6cdce',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fltime_5fpos_23431',['OSCCTRL_DPLLCTRLB_LTIME_Pos',['../component_2oscctrl_8h.html#a548d60cb7bc67169f8aae58e9172405d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fmask_23432',['OSCCTRL_DPLLCTRLB_MASK',['../component_2oscctrl_8h.html#a05fc8d7b773b1d682b972d9f4101001d',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5foffset_23433',['OSCCTRL_DPLLCTRLB_OFFSET',['../component_2oscctrl_8h.html#a71dc4af9ba22fbd5c6aa3d5d371d9a59',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fgclk_5fval_23434',['OSCCTRL_DPLLCTRLB_REFCLK_GCLK_Val',['../component_2oscctrl_8h.html#a38354933951893dbb52e7e27cb9f1dac',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fpos_23435',['OSCCTRL_DPLLCTRLB_REFCLK_Pos',['../component_2oscctrl_8h.html#ad0796705a34fa0f49ef3bd5384a9bcb7',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc0_5fval_23436',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC0_Val',['../component_2oscctrl_8h.html#ae7a65d1ec10c7884fc767e29df247358',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc1_5fval_23437',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC1_Val',['../component_2oscctrl_8h.html#a9736f8eba0ea7cb54ca311a8066a2949',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5frefclk_5fxosc32_5fval_23438',['OSCCTRL_DPLLCTRLB_REFCLK_XOSC32_Val',['../component_2oscctrl_8h.html#a4a987808375b88b41ddff5932c8f81e5',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fresetvalue_23439',['OSCCTRL_DPLLCTRLB_RESETVALUE',['../component_2oscctrl_8h.html#a49595cc57a3da5b0553aebc31760e7ca',1,'oscctrl.h']]],
['oscctrl_5fdpllctrlb_5fwuf_5fpos_23440',['OSCCTRL_DPLLCTRLB_WUF_Pos',['../component_2oscctrl_8h.html#a93ec22d41bd1fbe0745a29b207d8691b',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldr_5fpos_23441',['OSCCTRL_DPLLRATIO_LDR_Pos',['../component_2oscctrl_8h.html#a8eca3bc229c889cd3d3779dc929c643f',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fldrfrac_5fpos_23442',['OSCCTRL_DPLLRATIO_LDRFRAC_Pos',['../component_2oscctrl_8h.html#aef38c55c07d00397f447987ed0bc9bcc',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fmask_23443',['OSCCTRL_DPLLRATIO_MASK',['../component_2oscctrl_8h.html#a2f63ccd4be69ce0228fea5b36f5759c8',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5foffset_23444',['OSCCTRL_DPLLRATIO_OFFSET',['../component_2oscctrl_8h.html#a60bf82218bf0deb9cc9cfcc05db4f5ee',1,'oscctrl.h']]],
['oscctrl_5fdpllratio_5fresetvalue_23445',['OSCCTRL_DPLLRATIO_RESETVALUE',['../component_2oscctrl_8h.html#a0644b362777fc4bd26ff3be75521a753',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fclkrdy_5fpos_23446',['OSCCTRL_DPLLSTATUS_CLKRDY_Pos',['../component_2oscctrl_8h.html#a7050091daa0c7060570b8fb41a4396c7',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5flock_5fpos_23447',['OSCCTRL_DPLLSTATUS_LOCK_Pos',['../component_2oscctrl_8h.html#a569aa091512f4853d45f9217287f49ee',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fmask_23448',['OSCCTRL_DPLLSTATUS_MASK',['../component_2oscctrl_8h.html#a9594a8635037d6f6303db8bb1f9abede',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5foffset_23449',['OSCCTRL_DPLLSTATUS_OFFSET',['../component_2oscctrl_8h.html#a7187cfcdd8e6b42e88eff7617a051506',1,'oscctrl.h']]],
['oscctrl_5fdpllstatus_5fresetvalue_23450',['OSCCTRL_DPLLSTATUS_RESETVALUE',['../component_2oscctrl_8h.html#a915e0da834493a69f9efaafc12a411d3',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fdpllratio_5fpos_23451',['OSCCTRL_DPLLSYNCBUSY_DPLLRATIO_Pos',['../component_2oscctrl_8h.html#ab2f0bc0ad59bccd246390ccd8886542d',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fenable_5fpos_23452',['OSCCTRL_DPLLSYNCBUSY_ENABLE_Pos',['../component_2oscctrl_8h.html#a54af50bfcb6024fc8f7bb09d075c76af',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fmask_23453',['OSCCTRL_DPLLSYNCBUSY_MASK',['../component_2oscctrl_8h.html#afbce2b9bec1e87b9116643abd7b77d6b',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5foffset_23454',['OSCCTRL_DPLLSYNCBUSY_OFFSET',['../component_2oscctrl_8h.html#a2c5a41f1265f4fa368dd76a5e906a6ff',1,'oscctrl.h']]],
['oscctrl_5fdpllsyncbusy_5fresetvalue_23455',['OSCCTRL_DPLLSYNCBUSY_RESETVALUE',['../component_2oscctrl_8h.html#ad7e00f58be72dd69d9a2115fbe2d08bf',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo0_5fpos_23456',['OSCCTRL_EVCTRL_CFDEO0_Pos',['../component_2oscctrl_8h.html#ad86022dc131fd85aab7434a187d52d2b',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo1_5fpos_23457',['OSCCTRL_EVCTRL_CFDEO1_Pos',['../component_2oscctrl_8h.html#abfca963e158e3751e6eef579dc8e2459',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fcfdeo_5fpos_23458',['OSCCTRL_EVCTRL_CFDEO_Pos',['../component_2oscctrl_8h.html#a3a810998724eb0a551b11ebad8af0c3f',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fmask_23459',['OSCCTRL_EVCTRL_MASK',['../component_2oscctrl_8h.html#ae40fb603cd76abcad4d1181cf7f806bb',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5foffset_23460',['OSCCTRL_EVCTRL_OFFSET',['../component_2oscctrl_8h.html#a996fe1676326158a46ec7ca799f6c476',1,'oscctrl.h']]],
['oscctrl_5fevctrl_5fresetvalue_23461',['OSCCTRL_EVCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a3da520e04154e8d0d4e075f4b925d07e',1,'oscctrl.h']]],
['oscctrl_5finst_5fnum_23462',['OSCCTRL_INST_NUM',['../same54n19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a89dd60eec65241f39985b4e24dc1e59a',1,'OSCCTRL_INST_NUM():&#160;same54p20a.h']]],
['oscctrl_5finsts_23463',['OSCCTRL_INSTS',['../same54n19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#a79346c37d95652f87660e69bd4cef9b7',1,'OSCCTRL_INSTS():&#160;same54p20a.h']]],
['oscctrl_5fintenclr_5fdflllckc_5fpos_23464',['OSCCTRL_INTENCLR_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#acfd407452687ecbe98f2092c48c9cf0b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflllckf_5fpos_23465',['OSCCTRL_INTENCLR_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#aedeada806272464f02c40c311aa13580',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdflloob_5fpos_23466',['OSCCTRL_INTENCLR_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a42b59a19205fb09e83323b23751da9cc',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrcs_5fpos_23467',['OSCCTRL_INTENCLR_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a6777b5190c055d4589c685a6672fe9f4',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdfllrdy_5fpos_23468',['OSCCTRL_INTENCLR_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a34cb24a7f76328d1bb22092a9d238e1a',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckf_5fpos_23469',['OSCCTRL_INTENCLR_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#aeec58398a0b8f3a65d683f1a41754ca9',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lckr_5fpos_23470',['OSCCTRL_INTENCLR_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#ac19aede6406fd2f749d3570bc7a9c84e',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0ldrto_5fpos_23471',['OSCCTRL_INTENCLR_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#afcc038fd5ff3f0410e46081f764caf71',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll0lto_5fpos_23472',['OSCCTRL_INTENCLR_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ac5e6c94a61400538770c5b1220372e84',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckf_5fpos_23473',['OSCCTRL_INTENCLR_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#acc1a03dc449ded12c7c04ece882f168d',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lckr_5fpos_23474',['OSCCTRL_INTENCLR_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a354c3795d5d51b019d89650327cd58cd',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1ldrto_5fpos_23475',['OSCCTRL_INTENCLR_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#afb9da290c0b133fc1bf6e386b0db0ff0',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fdpll1lto_5fpos_23476',['OSCCTRL_INTENCLR_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a22e5d45e06513d280860be62567ec1ce',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fmask_23477',['OSCCTRL_INTENCLR_MASK',['../component_2oscctrl_8h.html#a491d06abacebcf79ae6c8ab2ce06fc03',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5foffset_23478',['OSCCTRL_INTENCLR_OFFSET',['../component_2oscctrl_8h.html#a3f1d364493d38c935e8c304effcf6801',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fresetvalue_23479',['OSCCTRL_INTENCLR_RESETVALUE',['../component_2oscctrl_8h.html#ad54389e0eae425ccea549728bfe6bd79',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail0_5fpos_23480',['OSCCTRL_INTENCLR_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#ad4ac60ee270bc85644b0258cbdf21db2',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail1_5fpos_23481',['OSCCTRL_INTENCLR_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#ad11a3dbaa23fd72f3f236d263cd7b1be',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscfail_5fpos_23482',['OSCCTRL_INTENCLR_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a391ebec0501a1af9e44d0096e2ab1d39',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy0_5fpos_23483',['OSCCTRL_INTENCLR_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a1e83a14bcf2fd2c1318d219ae498e8da',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy1_5fpos_23484',['OSCCTRL_INTENCLR_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1c8c35169fba19f6288bd1af4ff29c4b',1,'oscctrl.h']]],
['oscctrl_5fintenclr_5fxoscrdy_5fpos_23485',['OSCCTRL_INTENCLR_XOSCRDY_Pos',['../component_2oscctrl_8h.html#ad840e74b4b8a5bce231c57763f3d6a27',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckc_5fpos_23486',['OSCCTRL_INTENSET_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a6ad90e329e97b3b3e480ef583fdf3eb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflllckf_5fpos_23487',['OSCCTRL_INTENSET_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#af38520e46a7d6f00aad451bc70ce0ce9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdflloob_5fpos_23488',['OSCCTRL_INTENSET_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ab911e0fe7e6ea5dc65c44ae1718d7d32',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrcs_5fpos_23489',['OSCCTRL_INTENSET_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a67b99d880e5ac94cee8f4cece41756d5',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdfllrdy_5fpos_23490',['OSCCTRL_INTENSET_DFLLRDY_Pos',['../component_2oscctrl_8h.html#aed032ff8ad78e9e4d9e0694dfbd26c38',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckf_5fpos_23491',['OSCCTRL_INTENSET_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a0c306bc4b7b53596e9f8b28f241f1738',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lckr_5fpos_23492',['OSCCTRL_INTENSET_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a25324df8b7fcade4fc325aaa3a10efba',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0ldrto_5fpos_23493',['OSCCTRL_INTENSET_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a88ff4ba5e521a293381bb6a81ee96ff9',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll0lto_5fpos_23494',['OSCCTRL_INTENSET_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#a577dbcbbc73c82bd44799a553e761631',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckf_5fpos_23495',['OSCCTRL_INTENSET_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#ac2424b835502481d298d7b45d8d191c2',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lckr_5fpos_23496',['OSCCTRL_INTENSET_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a984e977ed8becf685c4ba9e54b4a88d6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1ldrto_5fpos_23497',['OSCCTRL_INTENSET_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ea2cc759e75ba1cc146354cb04ca4da',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fdpll1lto_5fpos_23498',['OSCCTRL_INTENSET_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#a786f9307087e16a6a3de282cdbc8580a',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fmask_23499',['OSCCTRL_INTENSET_MASK',['../component_2oscctrl_8h.html#a58580ac326b8ea2f4f59a3f12a9baae3',1,'oscctrl.h']]],
['oscctrl_5fintenset_5foffset_23500',['OSCCTRL_INTENSET_OFFSET',['../component_2oscctrl_8h.html#ac255483d2540447cf58a2ff1c9227c4c',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fresetvalue_23501',['OSCCTRL_INTENSET_RESETVALUE',['../component_2oscctrl_8h.html#a59a8baa4ba504f85756373bebf779abd',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail0_5fpos_23502',['OSCCTRL_INTENSET_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#adedd337090ca68da118c46ff224cd67b',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail1_5fpos_23503',['OSCCTRL_INTENSET_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a5dfbec57e3a4ddf4ff16808664dce852',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscfail_5fpos_23504',['OSCCTRL_INTENSET_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#ac85971e558aa827cf8a346fd73da42ce',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy0_5fpos_23505',['OSCCTRL_INTENSET_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#ac39d4f23555a607bce97a892f86b4406',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy1_5fpos_23506',['OSCCTRL_INTENSET_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a1706bb7612abb1b8604b528df8d74fb6',1,'oscctrl.h']]],
['oscctrl_5fintenset_5fxoscrdy_5fpos_23507',['OSCCTRL_INTENSET_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a51f8fe67474d300dae1f69f7877fcb21',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckc_5fpos_23508',['OSCCTRL_INTFLAG_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a2a4786711d775d7a9a3c56f1fcfaea2f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflllckf_5fpos_23509',['OSCCTRL_INTFLAG_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#a6fbc9c37d06550c125c08973065bba1f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdflloob_5fpos_23510',['OSCCTRL_INTFLAG_DFLLOOB_Pos',['../component_2oscctrl_8h.html#ae2b4598023dd48f296dc3ed55faa93f7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrcs_5fpos_23511',['OSCCTRL_INTFLAG_DFLLRCS_Pos',['../component_2oscctrl_8h.html#aef59605a23b051cdd044b9635be34116',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdfllrdy_5fpos_23512',['OSCCTRL_INTFLAG_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a6d6c5edd1a31c2185011f2966f4d67e7',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckf_5fpos_23513',['OSCCTRL_INTFLAG_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#ad06bbc0757c0248ee56178ab6a48c28f',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lckr_5fpos_23514',['OSCCTRL_INTFLAG_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a3a0cc7a56afc262dd18f4b3cd2e68ff2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0ldrto_5fpos_23515',['OSCCTRL_INTFLAG_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a4829b1e7eec271be816ef664af6af236',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll0lto_5fpos_23516',['OSCCTRL_INTFLAG_DPLL0LTO_Pos',['../component_2oscctrl_8h.html#ae9046f4d5ef37e7cde2ca88db111963b',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckf_5fpos_23517',['OSCCTRL_INTFLAG_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#a0d2c2110aa97643a7e19b88e4b3e904d',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lckr_5fpos_23518',['OSCCTRL_INTFLAG_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a108254e167a37e6e4dfb9519bc11209e',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1ldrto_5fpos_23519',['OSCCTRL_INTFLAG_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a6ac997517d000d7d52c080327bbc6dba',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fdpll1lto_5fpos_23520',['OSCCTRL_INTFLAG_DPLL1LTO_Pos',['../component_2oscctrl_8h.html#aaa1a96063c00c12713e8873ecd40eb3c',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fmask_23521',['OSCCTRL_INTFLAG_MASK',['../component_2oscctrl_8h.html#aedd8a9f620939d751bf1a447933cefa1',1,'oscctrl.h']]],
['oscctrl_5fintflag_5foffset_23522',['OSCCTRL_INTFLAG_OFFSET',['../component_2oscctrl_8h.html#ad4379aa6be2f266b44248cf95b73bbc2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fresetvalue_23523',['OSCCTRL_INTFLAG_RESETVALUE',['../component_2oscctrl_8h.html#af36ade32e3a82aa932c61c67dd1051d2',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail0_5fpos_23524',['OSCCTRL_INTFLAG_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a298eee00dead4a0e52d64f9c5a1efb57',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail1_5fpos_23525',['OSCCTRL_INTFLAG_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a3814eb8fda4eac82c6f3fee253642a5a',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscfail_5fpos_23526',['OSCCTRL_INTFLAG_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a541a47ea56a176d322064f0a04db6fa8',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy0_5fpos_23527',['OSCCTRL_INTFLAG_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a2f5f25844f47105e86c7d844372648ec',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy1_5fpos_23528',['OSCCTRL_INTFLAG_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#a64a72de75c54729a2b5629e31c6fe139',1,'oscctrl.h']]],
['oscctrl_5fintflag_5fxoscrdy_5fpos_23529',['OSCCTRL_INTFLAG_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a1c522599d8b45dd46183b68afb7c4179',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckc_5fpos_23530',['OSCCTRL_STATUS_DFLLLCKC_Pos',['../component_2oscctrl_8h.html#a1afa368e7e15936f20fc585a75ae2364',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflllckf_5fpos_23531',['OSCCTRL_STATUS_DFLLLCKF_Pos',['../component_2oscctrl_8h.html#ab0f92bd1bac8899184a0c9e827cb893e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdflloob_5fpos_23532',['OSCCTRL_STATUS_DFLLOOB_Pos',['../component_2oscctrl_8h.html#a609a88a7dd6e51e13db39120c06e7802',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrcs_5fpos_23533',['OSCCTRL_STATUS_DFLLRCS_Pos',['../component_2oscctrl_8h.html#a9d70ec5c52fd967af44a913183821e50',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdfllrdy_5fpos_23534',['OSCCTRL_STATUS_DFLLRDY_Pos',['../component_2oscctrl_8h.html#a93a88764e52b7292e9585f31459bab2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckf_5fpos_23535',['OSCCTRL_STATUS_DPLL0LCKF_Pos',['../component_2oscctrl_8h.html#a42192591909d1d068452a5d5a58fd35f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0lckr_5fpos_23536',['OSCCTRL_STATUS_DPLL0LCKR_Pos',['../component_2oscctrl_8h.html#a2e36f4769f2b83a008449bcf77301ec1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0ldrto_5fpos_23537',['OSCCTRL_STATUS_DPLL0LDRTO_Pos',['../component_2oscctrl_8h.html#a171a4fad2acfea2d53ba64333841c764',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll0to_5fpos_23538',['OSCCTRL_STATUS_DPLL0TO_Pos',['../component_2oscctrl_8h.html#a28629f6bf7e47edc104c8077871944b2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckf_5fpos_23539',['OSCCTRL_STATUS_DPLL1LCKF_Pos',['../component_2oscctrl_8h.html#aee59dcd46d23a4cf661080d023e23d67',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1lckr_5fpos_23540',['OSCCTRL_STATUS_DPLL1LCKR_Pos',['../component_2oscctrl_8h.html#a2f22483696b4c4d0589a2d17dab8779f',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1ldrto_5fpos_23541',['OSCCTRL_STATUS_DPLL1LDRTO_Pos',['../component_2oscctrl_8h.html#a69fb155bb3b94f7a315d3aa75948f3f0',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fdpll1to_5fpos_23542',['OSCCTRL_STATUS_DPLL1TO_Pos',['../component_2oscctrl_8h.html#a467800779492045ae90a78e39f45ebf3',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fmask_23543',['OSCCTRL_STATUS_MASK',['../component_2oscctrl_8h.html#a9f82ecd17b8fa59428ef3e31aea63aa6',1,'oscctrl.h']]],
['oscctrl_5fstatus_5foffset_23544',['OSCCTRL_STATUS_OFFSET',['../component_2oscctrl_8h.html#ad7dd78458893930f5f6800c743f745da',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fresetvalue_23545',['OSCCTRL_STATUS_RESETVALUE',['../component_2oscctrl_8h.html#a42375dcec180c57773050c0e0cd04b2e',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw0_5fpos_23546',['OSCCTRL_STATUS_XOSCCKSW0_Pos',['../component_2oscctrl_8h.html#a31651f5f133ef03501a0c19af590af91',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw1_5fpos_23547',['OSCCTRL_STATUS_XOSCCKSW1_Pos',['../component_2oscctrl_8h.html#a4a12531e0d9bf58fe06a568f7084f4de',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxosccksw_5fpos_23548',['OSCCTRL_STATUS_XOSCCKSW_Pos',['../component_2oscctrl_8h.html#a9122c98578dbba6b79b1d4e8dc0c21f1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail0_5fpos_23549',['OSCCTRL_STATUS_XOSCFAIL0_Pos',['../component_2oscctrl_8h.html#a9065ab905aa937a21f1faf221841f1af',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail1_5fpos_23550',['OSCCTRL_STATUS_XOSCFAIL1_Pos',['../component_2oscctrl_8h.html#a867c59248b265b1c6945fce69ec0bde1',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscfail_5fpos_23551',['OSCCTRL_STATUS_XOSCFAIL_Pos',['../component_2oscctrl_8h.html#a6d1cd3df10786cca948c08469baca892',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy0_5fpos_23552',['OSCCTRL_STATUS_XOSCRDY0_Pos',['../component_2oscctrl_8h.html#a68f494fbbdff8221ff788900f64ce3f2',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy1_5fpos_23553',['OSCCTRL_STATUS_XOSCRDY1_Pos',['../component_2oscctrl_8h.html#ab7aa6e77ead6ec196101e548cf0cf339',1,'oscctrl.h']]],
['oscctrl_5fstatus_5fxoscrdy_5fpos_23554',['OSCCTRL_STATUS_XOSCRDY_Pos',['../component_2oscctrl_8h.html#a068335c4490f6266cd1b8ac6452c0775',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfden_5fpos_23555',['OSCCTRL_XOSCCTRL_CFDEN_Pos',['../component_2oscctrl_8h.html#a60d8c5bfc01b31ca34b5e519c831476d',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fcfdpresc_5fpos_23556',['OSCCTRL_XOSCCTRL_CFDPRESC_Pos',['../component_2oscctrl_8h.html#a8dc33d9d6ebbf5568858f380af797476',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenable_5fpos_23557',['OSCCTRL_XOSCCTRL_ENABLE_Pos',['../component_2oscctrl_8h.html#a55753823c79f012a07776d32fb431e50',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fenalc_5fpos_23558',['OSCCTRL_XOSCCTRL_ENALC_Pos',['../component_2oscctrl_8h.html#aca0949c12da428ff0ae358a16d53a346',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fimult_5fpos_23559',['OSCCTRL_XOSCCTRL_IMULT_Pos',['../component_2oscctrl_8h.html#abd250ec9e51c26d98151644963c508e2',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fiptat_5fpos_23560',['OSCCTRL_XOSCCTRL_IPTAT_Pos',['../component_2oscctrl_8h.html#a3003e6ff068504def0961267faa43879',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5flowbufgain_5fpos_23561',['OSCCTRL_XOSCCTRL_LOWBUFGAIN_Pos',['../component_2oscctrl_8h.html#a84c7d7844390844005bc5f34bc700e59',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fmask_23562',['OSCCTRL_XOSCCTRL_MASK',['../component_2oscctrl_8h.html#a3569b3c01688e4b0d67f24f451162353',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5foffset_23563',['OSCCTRL_XOSCCTRL_OFFSET',['../component_2oscctrl_8h.html#ab61ec167eaec3b37ce0a5e09811eb519',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fondemand_5fpos_23564',['OSCCTRL_XOSCCTRL_ONDEMAND_Pos',['../component_2oscctrl_8h.html#a3d087d7558147bb7da1da3c88ea2103c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fresetvalue_23565',['OSCCTRL_XOSCCTRL_RESETVALUE',['../component_2oscctrl_8h.html#a1e702ca999cd2ea9e938976d66e4d5e8',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5frunstdby_5fpos_23566',['OSCCTRL_XOSCCTRL_RUNSTDBY_Pos',['../component_2oscctrl_8h.html#ae633882a1d2a6b483181e4256d074c0e',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fstartup_5fpos_23567',['OSCCTRL_XOSCCTRL_STARTUP_Pos',['../component_2oscctrl_8h.html#a4e50b7ee8f0aed0e3ffaf064b9febc35',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fswben_5fpos_23568',['OSCCTRL_XOSCCTRL_SWBEN_Pos',['../component_2oscctrl_8h.html#a3c2bdacb812dab99256add8f91dafa0c',1,'oscctrl.h']]],
['oscctrl_5fxoscctrl_5fxtalen_5fpos_23569',['OSCCTRL_XOSCCTRL_XTALEN_Pos',['../component_2oscctrl_8h.html#a46fe198075472a490bede30dd47abddd',1,'oscctrl.h']]]
];

File diff suppressed because it is too large Load Diff

@ -1,134 +1,134 @@
var searchData=
[
['qspi_25335',['QSPI',['../same54n19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p20a.h']]],
['qspi_5fahb_25336',['QSPI_AHB',['../same54n19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n19a.h'],['../same54n20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n20a.h'],['../same54p19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p19a.h'],['../same54p20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p20a.h']]],
['qspi_5fbaud_5fbaud_5fpos_25337',['QSPI_BAUD_BAUD_Pos',['../component_2qspi_8h.html#a58218959c2dabde357d38efcc1b83d76',1,'qspi.h']]],
['qspi_5fbaud_5fcpha_5fpos_25338',['QSPI_BAUD_CPHA_Pos',['../component_2qspi_8h.html#a84d3cf60569cc949d27b2087ccac6760',1,'qspi.h']]],
['qspi_5fbaud_5fcpol_5fpos_25339',['QSPI_BAUD_CPOL_Pos',['../component_2qspi_8h.html#a4ad7ad10245db652c86b15531aa8b17d',1,'qspi.h']]],
['qspi_5fbaud_5fdlybs_5fpos_25340',['QSPI_BAUD_DLYBS_Pos',['../component_2qspi_8h.html#a3e441fa461541cedde2d9cebff18806f',1,'qspi.h']]],
['qspi_5fbaud_5fmask_25341',['QSPI_BAUD_MASK',['../component_2qspi_8h.html#ad1b5f1c147c5c49bdb3ee765aa452ff4',1,'qspi.h']]],
['qspi_5fbaud_5foffset_25342',['QSPI_BAUD_OFFSET',['../component_2qspi_8h.html#a91864e8b4413f0c0b3ee02bc1adad69d',1,'qspi.h']]],
['qspi_5fbaud_5fresetvalue_25343',['QSPI_BAUD_RESETVALUE',['../component_2qspi_8h.html#a3d6ec16e0db1129c9a9ba80cac0a4c9e',1,'qspi.h']]],
['qspi_5fctrla_5fenable_5fpos_25344',['QSPI_CTRLA_ENABLE_Pos',['../component_2qspi_8h.html#a102905a5d5179136df4a67e14b6694b1',1,'qspi.h']]],
['qspi_5fctrla_5flastxfer_5fpos_25345',['QSPI_CTRLA_LASTXFER_Pos',['../component_2qspi_8h.html#a33e902d88b6c5b91eeacc0019d6ae36f',1,'qspi.h']]],
['qspi_5fctrla_5fmask_25346',['QSPI_CTRLA_MASK',['../component_2qspi_8h.html#ac433c9f1f4db34c9891f589daf7d3f8b',1,'qspi.h']]],
['qspi_5fctrla_5foffset_25347',['QSPI_CTRLA_OFFSET',['../component_2qspi_8h.html#a3c85ecdbf2e9a83baa5c02c477e2d219',1,'qspi.h']]],
['qspi_5fctrla_5fresetvalue_25348',['QSPI_CTRLA_RESETVALUE',['../component_2qspi_8h.html#a07465827fd18264d444500bdb55286ce',1,'qspi.h']]],
['qspi_5fctrla_5fswrst_5fpos_25349',['QSPI_CTRLA_SWRST_Pos',['../component_2qspi_8h.html#ac61b8c6799b93af4b19eb1cc8c1060ad',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5flastxfer_5fval_25350',['QSPI_CTRLB_CSMODE_LASTXFER_Val',['../component_2qspi_8h.html#aea301858cab20b7bd4623300b017ea5f',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fnoreload_5fval_25351',['QSPI_CTRLB_CSMODE_NORELOAD_Val',['../component_2qspi_8h.html#ad0df34874d30309d93969a5483e3d0e5',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fpos_25352',['QSPI_CTRLB_CSMODE_Pos',['../component_2qspi_8h.html#a0f5424f9db41af3e52e84645a0873d39',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fsystematically_5fval_25353',['QSPI_CTRLB_CSMODE_SYSTEMATICALLY_Val',['../component_2qspi_8h.html#a1396d5436eea5bde0a7ebccb2c0c1e58',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f10bits_5fval_25354',['QSPI_CTRLB_DATALEN_10BITS_Val',['../component_2qspi_8h.html#a7c65a21275cd48e354f63841fcc0d686',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f11bits_5fval_25355',['QSPI_CTRLB_DATALEN_11BITS_Val',['../component_2qspi_8h.html#a0cf11534e7b532b44c2b04d8942cb9f5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f12bits_5fval_25356',['QSPI_CTRLB_DATALEN_12BITS_Val',['../component_2qspi_8h.html#a485e5774639d6c9aec32a24a3a03bef5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f13bits_5fval_25357',['QSPI_CTRLB_DATALEN_13BITS_Val',['../component_2qspi_8h.html#a1993041aeb88652286ed5a9110bc9433',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f14bits_5fval_25358',['QSPI_CTRLB_DATALEN_14BITS_Val',['../component_2qspi_8h.html#a014c5a76b1890913ca8ea610c508f0c3',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f15bits_5fval_25359',['QSPI_CTRLB_DATALEN_15BITS_Val',['../component_2qspi_8h.html#ab8603426bf9532ca41c619ac1780315f',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f16bits_5fval_25360',['QSPI_CTRLB_DATALEN_16BITS_Val',['../component_2qspi_8h.html#afebcc48c02889a870025933a4775cfb7',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f8bits_5fval_25361',['QSPI_CTRLB_DATALEN_8BITS_Val',['../component_2qspi_8h.html#ad539db686ab592cc39c9c59378b18edf',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f9bits_5fval_25362',['QSPI_CTRLB_DATALEN_9BITS_Val',['../component_2qspi_8h.html#a9aa55203ef83894f7cc3d38187b61373',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5fpos_25363',['QSPI_CTRLB_DATALEN_Pos',['../component_2qspi_8h.html#aef938bb6cc8a3c3838d48bc5d6201c6b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlybct_5fpos_25364',['QSPI_CTRLB_DLYBCT_Pos',['../component_2qspi_8h.html#a824a62193678245b9bdb100eabc7ae0b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlycs_5fpos_25365',['QSPI_CTRLB_DLYCS_Pos',['../component_2qspi_8h.html#a85d8473d6936ea535e4af9eb23b519aa',1,'qspi.h']]],
['qspi_5fctrlb_5floopen_5fpos_25366',['QSPI_CTRLB_LOOPEN_Pos',['../component_2qspi_8h.html#a14f661b36ae775aa061f37807a3aa7d7',1,'qspi.h']]],
['qspi_5fctrlb_5fmask_25367',['QSPI_CTRLB_MASK',['../component_2qspi_8h.html#a81d90a83f5b714371ebd7f2192b5a039',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fmemory_5fval_25368',['QSPI_CTRLB_MODE_MEMORY_Val',['../component_2qspi_8h.html#a5c39c83034ab25732435350864217682',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fpos_25369',['QSPI_CTRLB_MODE_Pos',['../component_2qspi_8h.html#a79698078d30d3cb7fd87b60982a61ad9',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fspi_5fval_25370',['QSPI_CTRLB_MODE_SPI_Val',['../component_2qspi_8h.html#a29d105cadb9ae4f52d8cb4ad48e6dceb',1,'qspi.h']]],
['qspi_5fctrlb_5foffset_25371',['QSPI_CTRLB_OFFSET',['../component_2qspi_8h.html#a86b6ab4b906b5c6aefa6c0ebd5d60224',1,'qspi.h']]],
['qspi_5fctrlb_5fresetvalue_25372',['QSPI_CTRLB_RESETVALUE',['../component_2qspi_8h.html#a5d56bf1cfa14015b777b28f2fa09fb1e',1,'qspi.h']]],
['qspi_5fctrlb_5fsmemreg_5fpos_25373',['QSPI_CTRLB_SMEMREG_Pos',['../component_2qspi_8h.html#a15fc44efc7860a14e05bca369ddc4410',1,'qspi.h']]],
['qspi_5fctrlb_5fwdrbt_5fpos_25374',['QSPI_CTRLB_WDRBT_Pos',['../component_2qspi_8h.html#a45256d78ae287f4e5fcf0a645ba4c539',1,'qspi.h']]],
['qspi_5finst_5fnum_25375',['QSPI_INST_NUM',['../same54n19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p20a.h']]],
['qspi_5finstraddr_5faddr_5fpos_25376',['QSPI_INSTRADDR_ADDR_Pos',['../component_2qspi_8h.html#ab4d2a4b67a0a69a68bfd8580f8e32ae0',1,'qspi.h']]],
['qspi_5finstraddr_5fmask_25377',['QSPI_INSTRADDR_MASK',['../component_2qspi_8h.html#af657506ed36c42c07169c45d1a81afa0',1,'qspi.h']]],
['qspi_5finstraddr_5foffset_25378',['QSPI_INSTRADDR_OFFSET',['../component_2qspi_8h.html#a53d53b732b99eb81d9fa21610fccf04f',1,'qspi.h']]],
['qspi_5finstraddr_5fresetvalue_25379',['QSPI_INSTRADDR_RESETVALUE',['../component_2qspi_8h.html#a8041535b7b3cd42eb509b610555c5d02',1,'qspi.h']]],
['qspi_5finstrctrl_5finstr_5fpos_25380',['QSPI_INSTRCTRL_INSTR_Pos',['../component_2qspi_8h.html#a5737034b6cd99686ed0476d8139c14f3',1,'qspi.h']]],
['qspi_5finstrctrl_5fmask_25381',['QSPI_INSTRCTRL_MASK',['../component_2qspi_8h.html#ad1e2bfa26c544c940adf6d484e4c5631',1,'qspi.h']]],
['qspi_5finstrctrl_5foffset_25382',['QSPI_INSTRCTRL_OFFSET',['../component_2qspi_8h.html#a74728d0912c0a270c705e0416893f7ce',1,'qspi.h']]],
['qspi_5finstrctrl_5foptcode_5fpos_25383',['QSPI_INSTRCTRL_OPTCODE_Pos',['../component_2qspi_8h.html#a3f78f87325cc59f720d3c5d731ae9dfd',1,'qspi.h']]],
['qspi_5finstrctrl_5fresetvalue_25384',['QSPI_INSTRCTRL_RESETVALUE',['../component_2qspi_8h.html#aa1ce0ee489840800779fa57320d9e7f0',1,'qspi.h']]],
['qspi_5finstrframe_5faddren_5fpos_25385',['QSPI_INSTRFRAME_ADDREN_Pos',['../component_2qspi_8h.html#ac6406ae6a861ba312ba41d9431bf52a7',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f24bits_5fval_25386',['QSPI_INSTRFRAME_ADDRLEN_24BITS_Val',['../component_2qspi_8h.html#a8727889d2a96d4323b9c96d03e53e451',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f32bits_5fval_25387',['QSPI_INSTRFRAME_ADDRLEN_32BITS_Val',['../component_2qspi_8h.html#ada34328fde3d54c15380cd1c5e5ca3a9',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5fpos_25388',['QSPI_INSTRFRAME_ADDRLEN_Pos',['../component_2qspi_8h.html#a440d902fc7241e1108aeb3d50e700624',1,'qspi.h']]],
['qspi_5finstrframe_5fcrmode_5fpos_25389',['QSPI_INSTRFRAME_CRMODE_Pos',['../component_2qspi_8h.html#a476f3ff950fefce63da315c5bdf86ec5',1,'qspi.h']]],
['qspi_5finstrframe_5fdataen_5fpos_25390',['QSPI_INSTRFRAME_DATAEN_Pos',['../component_2qspi_8h.html#adee8fa29957db9951e7c5fd33a406695',1,'qspi.h']]],
['qspi_5finstrframe_5fddren_5fpos_25391',['QSPI_INSTRFRAME_DDREN_Pos',['../component_2qspi_8h.html#a26ec5331c17d1fdcb0b29af957828a14',1,'qspi.h']]],
['qspi_5finstrframe_5fdummylen_5fpos_25392',['QSPI_INSTRFRAME_DUMMYLEN_Pos',['../component_2qspi_8h.html#af0ca18bc8af0098f38b5a7f536d8cab9',1,'qspi.h']]],
['qspi_5finstrframe_5finstren_5fpos_25393',['QSPI_INSTRFRAME_INSTREN_Pos',['../component_2qspi_8h.html#a0843a1698c23e27569fb71b0b3ae02ba',1,'qspi.h']]],
['qspi_5finstrframe_5fmask_25394',['QSPI_INSTRFRAME_MASK',['../component_2qspi_8h.html#a45edf136a4e4b5219cda1aed53461ad1',1,'qspi.h']]],
['qspi_5finstrframe_5foffset_25395',['QSPI_INSTRFRAME_OFFSET',['../component_2qspi_8h.html#ab66ce90540b377e88cc87ff352c536a4',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodeen_5fpos_25396',['QSPI_INSTRFRAME_OPTCODEEN_Pos',['../component_2qspi_8h.html#af7ff4d7f4f670762eba7a59aa22e9c29',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f1bit_5fval_25397',['QSPI_INSTRFRAME_OPTCODELEN_1BIT_Val',['../component_2qspi_8h.html#a64f49c3e874ab484109880274c45d2eb',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f2bits_5fval_25398',['QSPI_INSTRFRAME_OPTCODELEN_2BITS_Val',['../component_2qspi_8h.html#acf488437ecfe7c0c0aa186c7080dda5f',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f4bits_5fval_25399',['QSPI_INSTRFRAME_OPTCODELEN_4BITS_Val',['../component_2qspi_8h.html#ac74f989f9c35449db596e47892d8ebdd',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f8bits_5fval_25400',['QSPI_INSTRFRAME_OPTCODELEN_8BITS_Val',['../component_2qspi_8h.html#aafeff47fb7739c3048d6be6f3f10d490',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5fpos_25401',['QSPI_INSTRFRAME_OPTCODELEN_Pos',['../component_2qspi_8h.html#a923187fc9942a4915faf4044178d1a05',1,'qspi.h']]],
['qspi_5finstrframe_5fresetvalue_25402',['QSPI_INSTRFRAME_RESETVALUE',['../component_2qspi_8h.html#aedcbbeea8784cb67427cb06f00b9b73e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fpos_25403',['QSPI_INSTRFRAME_TFRTYPE_Pos',['../component_2qspi_8h.html#aa72d8939be0af6821a1593d0597af785',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fread_5fval_25404',['QSPI_INSTRFRAME_TFRTYPE_READ_Val',['../component_2qspi_8h.html#ab8537a06c56a445da40d0947edba99b7',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5freadmemory_5fval_25405',['QSPI_INSTRFRAME_TFRTYPE_READMEMORY_Val',['../component_2qspi_8h.html#afe76dd530506516ca7ab6756bea3d23e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwrite_5fval_25406',['QSPI_INSTRFRAME_TFRTYPE_WRITE_Val',['../component_2qspi_8h.html#ab78f113a8fe8b4fc0fd056f2f49eeb04',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwritememory_5fval_25407',['QSPI_INSTRFRAME_TFRTYPE_WRITEMEMORY_Val',['../component_2qspi_8h.html#a836f913b830e6d77f2e89a5034a79f84',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fcmd_5fval_25408',['QSPI_INSTRFRAME_WIDTH_DUAL_CMD_Val',['../component_2qspi_8h.html#a0c9c48a46e4243e666f5d2778616d5fd',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fio_5fval_25409',['QSPI_INSTRFRAME_WIDTH_DUAL_IO_Val',['../component_2qspi_8h.html#a64363c446a0322ad48abc9115f7a4073',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5foutput_5fval_25410',['QSPI_INSTRFRAME_WIDTH_DUAL_OUTPUT_Val',['../component_2qspi_8h.html#a03c466b6e763fa6d75f18b88536bcef6',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fpos_25411',['QSPI_INSTRFRAME_WIDTH_Pos',['../component_2qspi_8h.html#a5f6b8cb121eb8e171b4a864252c6e837',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fcmd_5fval_25412',['QSPI_INSTRFRAME_WIDTH_QUAD_CMD_Val',['../component_2qspi_8h.html#a4b16ae5f4120aba8080c2075c0c85107',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fio_5fval_25413',['QSPI_INSTRFRAME_WIDTH_QUAD_IO_Val',['../component_2qspi_8h.html#a8082139abf8aea1bf61d2d73417e0d63',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5foutput_5fval_25414',['QSPI_INSTRFRAME_WIDTH_QUAD_OUTPUT_Val',['../component_2qspi_8h.html#a28a462a81538156bd39098f8f1f4c908',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fsingle_5fbit_5fspi_5fval_25415',['QSPI_INSTRFRAME_WIDTH_SINGLE_BIT_SPI_Val',['../component_2qspi_8h.html#ab8b427909c7320d8ca41e0ff6034ffcf',1,'qspi.h']]],
['qspi_5finsts_25416',['QSPI_INSTS',['../same54n19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p20a.h']]],
['qspi_5fintenclr_5fcsrise_5fpos_25417',['QSPI_INTENCLR_CSRISE_Pos',['../component_2qspi_8h.html#aba20d5848fc2b9b010b84e2c28c6ca81',1,'qspi.h']]],
['qspi_5fintenclr_5fdre_5fpos_25418',['QSPI_INTENCLR_DRE_Pos',['../component_2qspi_8h.html#ac563a4fd687c21fa4afae3ef903fe85b',1,'qspi.h']]],
['qspi_5fintenclr_5ferror_5fpos_25419',['QSPI_INTENCLR_ERROR_Pos',['../component_2qspi_8h.html#a1d86a274a38b2658da8dfbc529929172',1,'qspi.h']]],
['qspi_5fintenclr_5finstrend_5fpos_25420',['QSPI_INTENCLR_INSTREND_Pos',['../component_2qspi_8h.html#a89c51cbaa84c36e63aab68f6ff9c7e8c',1,'qspi.h']]],
['qspi_5fintenclr_5fmask_25421',['QSPI_INTENCLR_MASK',['../component_2qspi_8h.html#a22f05d7b924c11eb3ff9aa1539a70e4e',1,'qspi.h']]],
['qspi_5fintenclr_5foffset_25422',['QSPI_INTENCLR_OFFSET',['../component_2qspi_8h.html#a4321f52defba88894f82de95c788061c',1,'qspi.h']]],
['qspi_5fintenclr_5fresetvalue_25423',['QSPI_INTENCLR_RESETVALUE',['../component_2qspi_8h.html#ab73ffd7857c52aaaaf917fa1d84d0328',1,'qspi.h']]],
['qspi_5fintenclr_5frxc_5fpos_25424',['QSPI_INTENCLR_RXC_Pos',['../component_2qspi_8h.html#a5b9805de8712962cc9135be72b0b5d71',1,'qspi.h']]],
['qspi_5fintenclr_5ftxc_5fpos_25425',['QSPI_INTENCLR_TXC_Pos',['../component_2qspi_8h.html#ae24c24d43074574f48a3b4d3286ff8cd',1,'qspi.h']]],
['qspi_5fintenset_5fcsrise_5fpos_25426',['QSPI_INTENSET_CSRISE_Pos',['../component_2qspi_8h.html#a7a39ce2779333876efea464eb8abd6a6',1,'qspi.h']]],
['qspi_5fintenset_5fdre_5fpos_25427',['QSPI_INTENSET_DRE_Pos',['../component_2qspi_8h.html#a1ee4feba51c2d52059d91c847816c461',1,'qspi.h']]],
['qspi_5fintenset_5ferror_5fpos_25428',['QSPI_INTENSET_ERROR_Pos',['../component_2qspi_8h.html#ae56879a2c995c8759c94236e6b225fbd',1,'qspi.h']]],
['qspi_5fintenset_5finstrend_5fpos_25429',['QSPI_INTENSET_INSTREND_Pos',['../component_2qspi_8h.html#a28af271389d49bba36a16e773053afbc',1,'qspi.h']]],
['qspi_5fintenset_5fmask_25430',['QSPI_INTENSET_MASK',['../component_2qspi_8h.html#a363a82e8a3a1262e9894d537d3269a80',1,'qspi.h']]],
['qspi_5fintenset_5foffset_25431',['QSPI_INTENSET_OFFSET',['../component_2qspi_8h.html#a2a63dd66c5cb383bb8084b64937c3558',1,'qspi.h']]],
['qspi_5fintenset_5fresetvalue_25432',['QSPI_INTENSET_RESETVALUE',['../component_2qspi_8h.html#a408d2403d145db488089cbfbb0943b2c',1,'qspi.h']]],
['qspi_5fintenset_5frxc_5fpos_25433',['QSPI_INTENSET_RXC_Pos',['../component_2qspi_8h.html#a651a6fd970141862c48f631cbe357722',1,'qspi.h']]],
['qspi_5fintenset_5ftxc_5fpos_25434',['QSPI_INTENSET_TXC_Pos',['../component_2qspi_8h.html#aa632d7c75c0c176136f760243fc92ecc',1,'qspi.h']]],
['qspi_5fintflag_5fcsrise_5fpos_25435',['QSPI_INTFLAG_CSRISE_Pos',['../component_2qspi_8h.html#a8adf0a69720752ce53f38530e723d4f8',1,'qspi.h']]],
['qspi_5fintflag_5fdre_5fpos_25436',['QSPI_INTFLAG_DRE_Pos',['../component_2qspi_8h.html#a7a4cdfdde32baa8545e768a00980777f',1,'qspi.h']]],
['qspi_5fintflag_5ferror_5fpos_25437',['QSPI_INTFLAG_ERROR_Pos',['../component_2qspi_8h.html#a6f575f7d2c7bb689dc601da3aa22350c',1,'qspi.h']]],
['qspi_5fintflag_5finstrend_5fpos_25438',['QSPI_INTFLAG_INSTREND_Pos',['../component_2qspi_8h.html#a2630fdda56c7f0cd4c6a96c68963d933',1,'qspi.h']]],
['qspi_5fintflag_5fmask_25439',['QSPI_INTFLAG_MASK',['../component_2qspi_8h.html#ae24f836c5679c8d2b3ac47ca6834aa17',1,'qspi.h']]],
['qspi_5fintflag_5foffset_25440',['QSPI_INTFLAG_OFFSET',['../component_2qspi_8h.html#a0295b33774924d3c333739f5f9b205ff',1,'qspi.h']]],
['qspi_5fintflag_5fresetvalue_25441',['QSPI_INTFLAG_RESETVALUE',['../component_2qspi_8h.html#a405437e73598fcb9f2465bac8aeef3fe',1,'qspi.h']]],
['qspi_5fintflag_5frxc_5fpos_25442',['QSPI_INTFLAG_RXC_Pos',['../component_2qspi_8h.html#a98f549e10ec9cf4e071155feaa20b73d',1,'qspi.h']]],
['qspi_5fintflag_5ftxc_5fpos_25443',['QSPI_INTFLAG_TXC_Pos',['../component_2qspi_8h.html#aae8ba2dd8ab5ade39b7d2ea19f3ac535',1,'qspi.h']]],
['qspi_5frxdata_5fdata_5fpos_25444',['QSPI_RXDATA_DATA_Pos',['../component_2qspi_8h.html#ad47fc7a9b32733011d0cbbf53b974aeb',1,'qspi.h']]],
['qspi_5frxdata_5fmask_25445',['QSPI_RXDATA_MASK',['../component_2qspi_8h.html#a3fd4ee385b606854e969ddc1e60ad209',1,'qspi.h']]],
['qspi_5frxdata_5foffset_25446',['QSPI_RXDATA_OFFSET',['../component_2qspi_8h.html#a87be3956bd37543321c112cbb877e782',1,'qspi.h']]],
['qspi_5frxdata_5fresetvalue_25447',['QSPI_RXDATA_RESETVALUE',['../component_2qspi_8h.html#a9cd293dea7e9832403612f95104ed613',1,'qspi.h']]],
['qspi_5fscrambctrl_5fenable_5fpos_25448',['QSPI_SCRAMBCTRL_ENABLE_Pos',['../component_2qspi_8h.html#a2f232227955f50262bddc1e61a89ff84',1,'qspi.h']]],
['qspi_5fscrambctrl_5fmask_25449',['QSPI_SCRAMBCTRL_MASK',['../component_2qspi_8h.html#ad22d15dfb114dc6a1a1ed5fd883336db',1,'qspi.h']]],
['qspi_5fscrambctrl_5foffset_25450',['QSPI_SCRAMBCTRL_OFFSET',['../component_2qspi_8h.html#a9f7acff6060bd153c03ee375a416abc1',1,'qspi.h']]],
['qspi_5fscrambctrl_5frandomdis_5fpos_25451',['QSPI_SCRAMBCTRL_RANDOMDIS_Pos',['../component_2qspi_8h.html#ae0be1ea93dedc3ea78044e7689027215',1,'qspi.h']]],
['qspi_5fscrambctrl_5fresetvalue_25452',['QSPI_SCRAMBCTRL_RESETVALUE',['../component_2qspi_8h.html#a6da38b6cbd11e1d96dc9ad1e2222b8bd',1,'qspi.h']]],
['qspi_5fscrambkey_5fkey_5fpos_25453',['QSPI_SCRAMBKEY_KEY_Pos',['../component_2qspi_8h.html#a2b83fe892cc0b989a9b1790ba647eff9',1,'qspi.h']]],
['qspi_5fscrambkey_5fmask_25454',['QSPI_SCRAMBKEY_MASK',['../component_2qspi_8h.html#af89ee04ceeef535fbe3d6167c7ae040a',1,'qspi.h']]],
['qspi_5fscrambkey_5foffset_25455',['QSPI_SCRAMBKEY_OFFSET',['../component_2qspi_8h.html#a8b2884969f43bf3ab836cc58f80c6f60',1,'qspi.h']]],
['qspi_5fscrambkey_5fresetvalue_25456',['QSPI_SCRAMBKEY_RESETVALUE',['../component_2qspi_8h.html#ab7bf6ac11510711a9128d215c055bc53',1,'qspi.h']]],
['qspi_5fstatus_5fcsstatus_5fpos_25457',['QSPI_STATUS_CSSTATUS_Pos',['../component_2qspi_8h.html#a1c5b8b83243125a3d95ef7cf08405528',1,'qspi.h']]],
['qspi_5fstatus_5fenable_5fpos_25458',['QSPI_STATUS_ENABLE_Pos',['../component_2qspi_8h.html#aaa88fd251eb3cf0fb4d9654ee7e3d0b1',1,'qspi.h']]],
['qspi_5fstatus_5fmask_25459',['QSPI_STATUS_MASK',['../component_2qspi_8h.html#a2e8ceb017e15659067e5a0290bc3aae0',1,'qspi.h']]],
['qspi_5fstatus_5foffset_25460',['QSPI_STATUS_OFFSET',['../component_2qspi_8h.html#a7e6800de30b18ee1c16a17e8c3683b7d',1,'qspi.h']]],
['qspi_5fstatus_5fresetvalue_25461',['QSPI_STATUS_RESETVALUE',['../component_2qspi_8h.html#af605ee4eedd56fe75b161ca047fe240f',1,'qspi.h']]],
['qspi_5ftxdata_5fdata_5fpos_25462',['QSPI_TXDATA_DATA_Pos',['../component_2qspi_8h.html#aebe5e7d967560044c44c7c65b4420e69',1,'qspi.h']]],
['qspi_5ftxdata_5fmask_25463',['QSPI_TXDATA_MASK',['../component_2qspi_8h.html#a1da1b467d64f72334eb2797919ceec36',1,'qspi.h']]],
['qspi_5ftxdata_5foffset_25464',['QSPI_TXDATA_OFFSET',['../component_2qspi_8h.html#a0ca429506a8e6b03fb928b3a26c20bfc',1,'qspi.h']]],
['qspi_5ftxdata_5fresetvalue_25465',['QSPI_TXDATA_RESETVALUE',['../component_2qspi_8h.html#a3477e070bac8c154a985baae65233b38',1,'qspi.h']]]
['qspi_25405',['QSPI',['../same54n19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n19a.h'],['../same54n20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54n20a.h'],['../same54p19a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p19a.h'],['../same54p20a_8h.html#ac24e1e8116a508fa623bdaf91e7f9b63',1,'QSPI():&#160;same54p20a.h']]],
['qspi_5fahb_25406',['QSPI_AHB',['../same54n19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n19a.h'],['../same54n20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54n20a.h'],['../same54p19a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p19a.h'],['../same54p20a_8h.html#a6afd90235f99746f00fc636467a923a5',1,'QSPI_AHB():&#160;same54p20a.h']]],
['qspi_5fbaud_5fbaud_5fpos_25407',['QSPI_BAUD_BAUD_Pos',['../component_2qspi_8h.html#a58218959c2dabde357d38efcc1b83d76',1,'qspi.h']]],
['qspi_5fbaud_5fcpha_5fpos_25408',['QSPI_BAUD_CPHA_Pos',['../component_2qspi_8h.html#a84d3cf60569cc949d27b2087ccac6760',1,'qspi.h']]],
['qspi_5fbaud_5fcpol_5fpos_25409',['QSPI_BAUD_CPOL_Pos',['../component_2qspi_8h.html#a4ad7ad10245db652c86b15531aa8b17d',1,'qspi.h']]],
['qspi_5fbaud_5fdlybs_5fpos_25410',['QSPI_BAUD_DLYBS_Pos',['../component_2qspi_8h.html#a3e441fa461541cedde2d9cebff18806f',1,'qspi.h']]],
['qspi_5fbaud_5fmask_25411',['QSPI_BAUD_MASK',['../component_2qspi_8h.html#ad1b5f1c147c5c49bdb3ee765aa452ff4',1,'qspi.h']]],
['qspi_5fbaud_5foffset_25412',['QSPI_BAUD_OFFSET',['../component_2qspi_8h.html#a91864e8b4413f0c0b3ee02bc1adad69d',1,'qspi.h']]],
['qspi_5fbaud_5fresetvalue_25413',['QSPI_BAUD_RESETVALUE',['../component_2qspi_8h.html#a3d6ec16e0db1129c9a9ba80cac0a4c9e',1,'qspi.h']]],
['qspi_5fctrla_5fenable_5fpos_25414',['QSPI_CTRLA_ENABLE_Pos',['../component_2qspi_8h.html#a102905a5d5179136df4a67e14b6694b1',1,'qspi.h']]],
['qspi_5fctrla_5flastxfer_5fpos_25415',['QSPI_CTRLA_LASTXFER_Pos',['../component_2qspi_8h.html#a33e902d88b6c5b91eeacc0019d6ae36f',1,'qspi.h']]],
['qspi_5fctrla_5fmask_25416',['QSPI_CTRLA_MASK',['../component_2qspi_8h.html#ac433c9f1f4db34c9891f589daf7d3f8b',1,'qspi.h']]],
['qspi_5fctrla_5foffset_25417',['QSPI_CTRLA_OFFSET',['../component_2qspi_8h.html#a3c85ecdbf2e9a83baa5c02c477e2d219',1,'qspi.h']]],
['qspi_5fctrla_5fresetvalue_25418',['QSPI_CTRLA_RESETVALUE',['../component_2qspi_8h.html#a07465827fd18264d444500bdb55286ce',1,'qspi.h']]],
['qspi_5fctrla_5fswrst_5fpos_25419',['QSPI_CTRLA_SWRST_Pos',['../component_2qspi_8h.html#ac61b8c6799b93af4b19eb1cc8c1060ad',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5flastxfer_5fval_25420',['QSPI_CTRLB_CSMODE_LASTXFER_Val',['../component_2qspi_8h.html#aea301858cab20b7bd4623300b017ea5f',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fnoreload_5fval_25421',['QSPI_CTRLB_CSMODE_NORELOAD_Val',['../component_2qspi_8h.html#ad0df34874d30309d93969a5483e3d0e5',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fpos_25422',['QSPI_CTRLB_CSMODE_Pos',['../component_2qspi_8h.html#a0f5424f9db41af3e52e84645a0873d39',1,'qspi.h']]],
['qspi_5fctrlb_5fcsmode_5fsystematically_5fval_25423',['QSPI_CTRLB_CSMODE_SYSTEMATICALLY_Val',['../component_2qspi_8h.html#a1396d5436eea5bde0a7ebccb2c0c1e58',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f10bits_5fval_25424',['QSPI_CTRLB_DATALEN_10BITS_Val',['../component_2qspi_8h.html#a7c65a21275cd48e354f63841fcc0d686',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f11bits_5fval_25425',['QSPI_CTRLB_DATALEN_11BITS_Val',['../component_2qspi_8h.html#a0cf11534e7b532b44c2b04d8942cb9f5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f12bits_5fval_25426',['QSPI_CTRLB_DATALEN_12BITS_Val',['../component_2qspi_8h.html#a485e5774639d6c9aec32a24a3a03bef5',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f13bits_5fval_25427',['QSPI_CTRLB_DATALEN_13BITS_Val',['../component_2qspi_8h.html#a1993041aeb88652286ed5a9110bc9433',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f14bits_5fval_25428',['QSPI_CTRLB_DATALEN_14BITS_Val',['../component_2qspi_8h.html#a014c5a76b1890913ca8ea610c508f0c3',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f15bits_5fval_25429',['QSPI_CTRLB_DATALEN_15BITS_Val',['../component_2qspi_8h.html#ab8603426bf9532ca41c619ac1780315f',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f16bits_5fval_25430',['QSPI_CTRLB_DATALEN_16BITS_Val',['../component_2qspi_8h.html#afebcc48c02889a870025933a4775cfb7',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f8bits_5fval_25431',['QSPI_CTRLB_DATALEN_8BITS_Val',['../component_2qspi_8h.html#ad539db686ab592cc39c9c59378b18edf',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5f9bits_5fval_25432',['QSPI_CTRLB_DATALEN_9BITS_Val',['../component_2qspi_8h.html#a9aa55203ef83894f7cc3d38187b61373',1,'qspi.h']]],
['qspi_5fctrlb_5fdatalen_5fpos_25433',['QSPI_CTRLB_DATALEN_Pos',['../component_2qspi_8h.html#aef938bb6cc8a3c3838d48bc5d6201c6b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlybct_5fpos_25434',['QSPI_CTRLB_DLYBCT_Pos',['../component_2qspi_8h.html#a824a62193678245b9bdb100eabc7ae0b',1,'qspi.h']]],
['qspi_5fctrlb_5fdlycs_5fpos_25435',['QSPI_CTRLB_DLYCS_Pos',['../component_2qspi_8h.html#a85d8473d6936ea535e4af9eb23b519aa',1,'qspi.h']]],
['qspi_5fctrlb_5floopen_5fpos_25436',['QSPI_CTRLB_LOOPEN_Pos',['../component_2qspi_8h.html#a14f661b36ae775aa061f37807a3aa7d7',1,'qspi.h']]],
['qspi_5fctrlb_5fmask_25437',['QSPI_CTRLB_MASK',['../component_2qspi_8h.html#a81d90a83f5b714371ebd7f2192b5a039',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fmemory_5fval_25438',['QSPI_CTRLB_MODE_MEMORY_Val',['../component_2qspi_8h.html#a5c39c83034ab25732435350864217682',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fpos_25439',['QSPI_CTRLB_MODE_Pos',['../component_2qspi_8h.html#a79698078d30d3cb7fd87b60982a61ad9',1,'qspi.h']]],
['qspi_5fctrlb_5fmode_5fspi_5fval_25440',['QSPI_CTRLB_MODE_SPI_Val',['../component_2qspi_8h.html#a29d105cadb9ae4f52d8cb4ad48e6dceb',1,'qspi.h']]],
['qspi_5fctrlb_5foffset_25441',['QSPI_CTRLB_OFFSET',['../component_2qspi_8h.html#a86b6ab4b906b5c6aefa6c0ebd5d60224',1,'qspi.h']]],
['qspi_5fctrlb_5fresetvalue_25442',['QSPI_CTRLB_RESETVALUE',['../component_2qspi_8h.html#a5d56bf1cfa14015b777b28f2fa09fb1e',1,'qspi.h']]],
['qspi_5fctrlb_5fsmemreg_5fpos_25443',['QSPI_CTRLB_SMEMREG_Pos',['../component_2qspi_8h.html#a15fc44efc7860a14e05bca369ddc4410',1,'qspi.h']]],
['qspi_5fctrlb_5fwdrbt_5fpos_25444',['QSPI_CTRLB_WDRBT_Pos',['../component_2qspi_8h.html#a45256d78ae287f4e5fcf0a645ba4c539',1,'qspi.h']]],
['qspi_5finst_5fnum_25445',['QSPI_INST_NUM',['../same54n19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n19a.h'],['../same54n20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54n20a.h'],['../same54p19a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p19a.h'],['../same54p20a_8h.html#a1182ff367555a6f3bd80ac25c9673cf4',1,'QSPI_INST_NUM():&#160;same54p20a.h']]],
['qspi_5finstraddr_5faddr_5fpos_25446',['QSPI_INSTRADDR_ADDR_Pos',['../component_2qspi_8h.html#ab4d2a4b67a0a69a68bfd8580f8e32ae0',1,'qspi.h']]],
['qspi_5finstraddr_5fmask_25447',['QSPI_INSTRADDR_MASK',['../component_2qspi_8h.html#af657506ed36c42c07169c45d1a81afa0',1,'qspi.h']]],
['qspi_5finstraddr_5foffset_25448',['QSPI_INSTRADDR_OFFSET',['../component_2qspi_8h.html#a53d53b732b99eb81d9fa21610fccf04f',1,'qspi.h']]],
['qspi_5finstraddr_5fresetvalue_25449',['QSPI_INSTRADDR_RESETVALUE',['../component_2qspi_8h.html#a8041535b7b3cd42eb509b610555c5d02',1,'qspi.h']]],
['qspi_5finstrctrl_5finstr_5fpos_25450',['QSPI_INSTRCTRL_INSTR_Pos',['../component_2qspi_8h.html#a5737034b6cd99686ed0476d8139c14f3',1,'qspi.h']]],
['qspi_5finstrctrl_5fmask_25451',['QSPI_INSTRCTRL_MASK',['../component_2qspi_8h.html#ad1e2bfa26c544c940adf6d484e4c5631',1,'qspi.h']]],
['qspi_5finstrctrl_5foffset_25452',['QSPI_INSTRCTRL_OFFSET',['../component_2qspi_8h.html#a74728d0912c0a270c705e0416893f7ce',1,'qspi.h']]],
['qspi_5finstrctrl_5foptcode_5fpos_25453',['QSPI_INSTRCTRL_OPTCODE_Pos',['../component_2qspi_8h.html#a3f78f87325cc59f720d3c5d731ae9dfd',1,'qspi.h']]],
['qspi_5finstrctrl_5fresetvalue_25454',['QSPI_INSTRCTRL_RESETVALUE',['../component_2qspi_8h.html#aa1ce0ee489840800779fa57320d9e7f0',1,'qspi.h']]],
['qspi_5finstrframe_5faddren_5fpos_25455',['QSPI_INSTRFRAME_ADDREN_Pos',['../component_2qspi_8h.html#ac6406ae6a861ba312ba41d9431bf52a7',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f24bits_5fval_25456',['QSPI_INSTRFRAME_ADDRLEN_24BITS_Val',['../component_2qspi_8h.html#a8727889d2a96d4323b9c96d03e53e451',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5f32bits_5fval_25457',['QSPI_INSTRFRAME_ADDRLEN_32BITS_Val',['../component_2qspi_8h.html#ada34328fde3d54c15380cd1c5e5ca3a9',1,'qspi.h']]],
['qspi_5finstrframe_5faddrlen_5fpos_25458',['QSPI_INSTRFRAME_ADDRLEN_Pos',['../component_2qspi_8h.html#a440d902fc7241e1108aeb3d50e700624',1,'qspi.h']]],
['qspi_5finstrframe_5fcrmode_5fpos_25459',['QSPI_INSTRFRAME_CRMODE_Pos',['../component_2qspi_8h.html#a476f3ff950fefce63da315c5bdf86ec5',1,'qspi.h']]],
['qspi_5finstrframe_5fdataen_5fpos_25460',['QSPI_INSTRFRAME_DATAEN_Pos',['../component_2qspi_8h.html#adee8fa29957db9951e7c5fd33a406695',1,'qspi.h']]],
['qspi_5finstrframe_5fddren_5fpos_25461',['QSPI_INSTRFRAME_DDREN_Pos',['../component_2qspi_8h.html#a26ec5331c17d1fdcb0b29af957828a14',1,'qspi.h']]],
['qspi_5finstrframe_5fdummylen_5fpos_25462',['QSPI_INSTRFRAME_DUMMYLEN_Pos',['../component_2qspi_8h.html#af0ca18bc8af0098f38b5a7f536d8cab9',1,'qspi.h']]],
['qspi_5finstrframe_5finstren_5fpos_25463',['QSPI_INSTRFRAME_INSTREN_Pos',['../component_2qspi_8h.html#a0843a1698c23e27569fb71b0b3ae02ba',1,'qspi.h']]],
['qspi_5finstrframe_5fmask_25464',['QSPI_INSTRFRAME_MASK',['../component_2qspi_8h.html#a45edf136a4e4b5219cda1aed53461ad1',1,'qspi.h']]],
['qspi_5finstrframe_5foffset_25465',['QSPI_INSTRFRAME_OFFSET',['../component_2qspi_8h.html#ab66ce90540b377e88cc87ff352c536a4',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodeen_5fpos_25466',['QSPI_INSTRFRAME_OPTCODEEN_Pos',['../component_2qspi_8h.html#af7ff4d7f4f670762eba7a59aa22e9c29',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f1bit_5fval_25467',['QSPI_INSTRFRAME_OPTCODELEN_1BIT_Val',['../component_2qspi_8h.html#a64f49c3e874ab484109880274c45d2eb',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f2bits_5fval_25468',['QSPI_INSTRFRAME_OPTCODELEN_2BITS_Val',['../component_2qspi_8h.html#acf488437ecfe7c0c0aa186c7080dda5f',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f4bits_5fval_25469',['QSPI_INSTRFRAME_OPTCODELEN_4BITS_Val',['../component_2qspi_8h.html#ac74f989f9c35449db596e47892d8ebdd',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5f8bits_5fval_25470',['QSPI_INSTRFRAME_OPTCODELEN_8BITS_Val',['../component_2qspi_8h.html#aafeff47fb7739c3048d6be6f3f10d490',1,'qspi.h']]],
['qspi_5finstrframe_5foptcodelen_5fpos_25471',['QSPI_INSTRFRAME_OPTCODELEN_Pos',['../component_2qspi_8h.html#a923187fc9942a4915faf4044178d1a05',1,'qspi.h']]],
['qspi_5finstrframe_5fresetvalue_25472',['QSPI_INSTRFRAME_RESETVALUE',['../component_2qspi_8h.html#aedcbbeea8784cb67427cb06f00b9b73e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fpos_25473',['QSPI_INSTRFRAME_TFRTYPE_Pos',['../component_2qspi_8h.html#aa72d8939be0af6821a1593d0597af785',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fread_5fval_25474',['QSPI_INSTRFRAME_TFRTYPE_READ_Val',['../component_2qspi_8h.html#ab8537a06c56a445da40d0947edba99b7',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5freadmemory_5fval_25475',['QSPI_INSTRFRAME_TFRTYPE_READMEMORY_Val',['../component_2qspi_8h.html#afe76dd530506516ca7ab6756bea3d23e',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwrite_5fval_25476',['QSPI_INSTRFRAME_TFRTYPE_WRITE_Val',['../component_2qspi_8h.html#ab78f113a8fe8b4fc0fd056f2f49eeb04',1,'qspi.h']]],
['qspi_5finstrframe_5ftfrtype_5fwritememory_5fval_25477',['QSPI_INSTRFRAME_TFRTYPE_WRITEMEMORY_Val',['../component_2qspi_8h.html#a836f913b830e6d77f2e89a5034a79f84',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fcmd_5fval_25478',['QSPI_INSTRFRAME_WIDTH_DUAL_CMD_Val',['../component_2qspi_8h.html#a0c9c48a46e4243e666f5d2778616d5fd',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5fio_5fval_25479',['QSPI_INSTRFRAME_WIDTH_DUAL_IO_Val',['../component_2qspi_8h.html#a64363c446a0322ad48abc9115f7a4073',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fdual_5foutput_5fval_25480',['QSPI_INSTRFRAME_WIDTH_DUAL_OUTPUT_Val',['../component_2qspi_8h.html#a03c466b6e763fa6d75f18b88536bcef6',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fpos_25481',['QSPI_INSTRFRAME_WIDTH_Pos',['../component_2qspi_8h.html#a5f6b8cb121eb8e171b4a864252c6e837',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fcmd_5fval_25482',['QSPI_INSTRFRAME_WIDTH_QUAD_CMD_Val',['../component_2qspi_8h.html#a4b16ae5f4120aba8080c2075c0c85107',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5fio_5fval_25483',['QSPI_INSTRFRAME_WIDTH_QUAD_IO_Val',['../component_2qspi_8h.html#a8082139abf8aea1bf61d2d73417e0d63',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fquad_5foutput_5fval_25484',['QSPI_INSTRFRAME_WIDTH_QUAD_OUTPUT_Val',['../component_2qspi_8h.html#a28a462a81538156bd39098f8f1f4c908',1,'qspi.h']]],
['qspi_5finstrframe_5fwidth_5fsingle_5fbit_5fspi_5fval_25485',['QSPI_INSTRFRAME_WIDTH_SINGLE_BIT_SPI_Val',['../component_2qspi_8h.html#ab8b427909c7320d8ca41e0ff6034ffcf',1,'qspi.h']]],
['qspi_5finsts_25486',['QSPI_INSTS',['../same54n19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n19a.h'],['../same54n20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54n20a.h'],['../same54p19a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p19a.h'],['../same54p20a_8h.html#abcfa0442b05d1243e826578fec05ecd5',1,'QSPI_INSTS():&#160;same54p20a.h']]],
['qspi_5fintenclr_5fcsrise_5fpos_25487',['QSPI_INTENCLR_CSRISE_Pos',['../component_2qspi_8h.html#aba20d5848fc2b9b010b84e2c28c6ca81',1,'qspi.h']]],
['qspi_5fintenclr_5fdre_5fpos_25488',['QSPI_INTENCLR_DRE_Pos',['../component_2qspi_8h.html#ac563a4fd687c21fa4afae3ef903fe85b',1,'qspi.h']]],
['qspi_5fintenclr_5ferror_5fpos_25489',['QSPI_INTENCLR_ERROR_Pos',['../component_2qspi_8h.html#a1d86a274a38b2658da8dfbc529929172',1,'qspi.h']]],
['qspi_5fintenclr_5finstrend_5fpos_25490',['QSPI_INTENCLR_INSTREND_Pos',['../component_2qspi_8h.html#a89c51cbaa84c36e63aab68f6ff9c7e8c',1,'qspi.h']]],
['qspi_5fintenclr_5fmask_25491',['QSPI_INTENCLR_MASK',['../component_2qspi_8h.html#a22f05d7b924c11eb3ff9aa1539a70e4e',1,'qspi.h']]],
['qspi_5fintenclr_5foffset_25492',['QSPI_INTENCLR_OFFSET',['../component_2qspi_8h.html#a4321f52defba88894f82de95c788061c',1,'qspi.h']]],
['qspi_5fintenclr_5fresetvalue_25493',['QSPI_INTENCLR_RESETVALUE',['../component_2qspi_8h.html#ab73ffd7857c52aaaaf917fa1d84d0328',1,'qspi.h']]],
['qspi_5fintenclr_5frxc_5fpos_25494',['QSPI_INTENCLR_RXC_Pos',['../component_2qspi_8h.html#a5b9805de8712962cc9135be72b0b5d71',1,'qspi.h']]],
['qspi_5fintenclr_5ftxc_5fpos_25495',['QSPI_INTENCLR_TXC_Pos',['../component_2qspi_8h.html#ae24c24d43074574f48a3b4d3286ff8cd',1,'qspi.h']]],
['qspi_5fintenset_5fcsrise_5fpos_25496',['QSPI_INTENSET_CSRISE_Pos',['../component_2qspi_8h.html#a7a39ce2779333876efea464eb8abd6a6',1,'qspi.h']]],
['qspi_5fintenset_5fdre_5fpos_25497',['QSPI_INTENSET_DRE_Pos',['../component_2qspi_8h.html#a1ee4feba51c2d52059d91c847816c461',1,'qspi.h']]],
['qspi_5fintenset_5ferror_5fpos_25498',['QSPI_INTENSET_ERROR_Pos',['../component_2qspi_8h.html#ae56879a2c995c8759c94236e6b225fbd',1,'qspi.h']]],
['qspi_5fintenset_5finstrend_5fpos_25499',['QSPI_INTENSET_INSTREND_Pos',['../component_2qspi_8h.html#a28af271389d49bba36a16e773053afbc',1,'qspi.h']]],
['qspi_5fintenset_5fmask_25500',['QSPI_INTENSET_MASK',['../component_2qspi_8h.html#a363a82e8a3a1262e9894d537d3269a80',1,'qspi.h']]],
['qspi_5fintenset_5foffset_25501',['QSPI_INTENSET_OFFSET',['../component_2qspi_8h.html#a2a63dd66c5cb383bb8084b64937c3558',1,'qspi.h']]],
['qspi_5fintenset_5fresetvalue_25502',['QSPI_INTENSET_RESETVALUE',['../component_2qspi_8h.html#a408d2403d145db488089cbfbb0943b2c',1,'qspi.h']]],
['qspi_5fintenset_5frxc_5fpos_25503',['QSPI_INTENSET_RXC_Pos',['../component_2qspi_8h.html#a651a6fd970141862c48f631cbe357722',1,'qspi.h']]],
['qspi_5fintenset_5ftxc_5fpos_25504',['QSPI_INTENSET_TXC_Pos',['../component_2qspi_8h.html#aa632d7c75c0c176136f760243fc92ecc',1,'qspi.h']]],
['qspi_5fintflag_5fcsrise_5fpos_25505',['QSPI_INTFLAG_CSRISE_Pos',['../component_2qspi_8h.html#a8adf0a69720752ce53f38530e723d4f8',1,'qspi.h']]],
['qspi_5fintflag_5fdre_5fpos_25506',['QSPI_INTFLAG_DRE_Pos',['../component_2qspi_8h.html#a7a4cdfdde32baa8545e768a00980777f',1,'qspi.h']]],
['qspi_5fintflag_5ferror_5fpos_25507',['QSPI_INTFLAG_ERROR_Pos',['../component_2qspi_8h.html#a6f575f7d2c7bb689dc601da3aa22350c',1,'qspi.h']]],
['qspi_5fintflag_5finstrend_5fpos_25508',['QSPI_INTFLAG_INSTREND_Pos',['../component_2qspi_8h.html#a2630fdda56c7f0cd4c6a96c68963d933',1,'qspi.h']]],
['qspi_5fintflag_5fmask_25509',['QSPI_INTFLAG_MASK',['../component_2qspi_8h.html#ae24f836c5679c8d2b3ac47ca6834aa17',1,'qspi.h']]],
['qspi_5fintflag_5foffset_25510',['QSPI_INTFLAG_OFFSET',['../component_2qspi_8h.html#a0295b33774924d3c333739f5f9b205ff',1,'qspi.h']]],
['qspi_5fintflag_5fresetvalue_25511',['QSPI_INTFLAG_RESETVALUE',['../component_2qspi_8h.html#a405437e73598fcb9f2465bac8aeef3fe',1,'qspi.h']]],
['qspi_5fintflag_5frxc_5fpos_25512',['QSPI_INTFLAG_RXC_Pos',['../component_2qspi_8h.html#a98f549e10ec9cf4e071155feaa20b73d',1,'qspi.h']]],
['qspi_5fintflag_5ftxc_5fpos_25513',['QSPI_INTFLAG_TXC_Pos',['../component_2qspi_8h.html#aae8ba2dd8ab5ade39b7d2ea19f3ac535',1,'qspi.h']]],
['qspi_5frxdata_5fdata_5fpos_25514',['QSPI_RXDATA_DATA_Pos',['../component_2qspi_8h.html#ad47fc7a9b32733011d0cbbf53b974aeb',1,'qspi.h']]],
['qspi_5frxdata_5fmask_25515',['QSPI_RXDATA_MASK',['../component_2qspi_8h.html#a3fd4ee385b606854e969ddc1e60ad209',1,'qspi.h']]],
['qspi_5frxdata_5foffset_25516',['QSPI_RXDATA_OFFSET',['../component_2qspi_8h.html#a87be3956bd37543321c112cbb877e782',1,'qspi.h']]],
['qspi_5frxdata_5fresetvalue_25517',['QSPI_RXDATA_RESETVALUE',['../component_2qspi_8h.html#a9cd293dea7e9832403612f95104ed613',1,'qspi.h']]],
['qspi_5fscrambctrl_5fenable_5fpos_25518',['QSPI_SCRAMBCTRL_ENABLE_Pos',['../component_2qspi_8h.html#a2f232227955f50262bddc1e61a89ff84',1,'qspi.h']]],
['qspi_5fscrambctrl_5fmask_25519',['QSPI_SCRAMBCTRL_MASK',['../component_2qspi_8h.html#ad22d15dfb114dc6a1a1ed5fd883336db',1,'qspi.h']]],
['qspi_5fscrambctrl_5foffset_25520',['QSPI_SCRAMBCTRL_OFFSET',['../component_2qspi_8h.html#a9f7acff6060bd153c03ee375a416abc1',1,'qspi.h']]],
['qspi_5fscrambctrl_5frandomdis_5fpos_25521',['QSPI_SCRAMBCTRL_RANDOMDIS_Pos',['../component_2qspi_8h.html#ae0be1ea93dedc3ea78044e7689027215',1,'qspi.h']]],
['qspi_5fscrambctrl_5fresetvalue_25522',['QSPI_SCRAMBCTRL_RESETVALUE',['../component_2qspi_8h.html#a6da38b6cbd11e1d96dc9ad1e2222b8bd',1,'qspi.h']]],
['qspi_5fscrambkey_5fkey_5fpos_25523',['QSPI_SCRAMBKEY_KEY_Pos',['../component_2qspi_8h.html#a2b83fe892cc0b989a9b1790ba647eff9',1,'qspi.h']]],
['qspi_5fscrambkey_5fmask_25524',['QSPI_SCRAMBKEY_MASK',['../component_2qspi_8h.html#af89ee04ceeef535fbe3d6167c7ae040a',1,'qspi.h']]],
['qspi_5fscrambkey_5foffset_25525',['QSPI_SCRAMBKEY_OFFSET',['../component_2qspi_8h.html#a8b2884969f43bf3ab836cc58f80c6f60',1,'qspi.h']]],
['qspi_5fscrambkey_5fresetvalue_25526',['QSPI_SCRAMBKEY_RESETVALUE',['../component_2qspi_8h.html#ab7bf6ac11510711a9128d215c055bc53',1,'qspi.h']]],
['qspi_5fstatus_5fcsstatus_5fpos_25527',['QSPI_STATUS_CSSTATUS_Pos',['../component_2qspi_8h.html#a1c5b8b83243125a3d95ef7cf08405528',1,'qspi.h']]],
['qspi_5fstatus_5fenable_5fpos_25528',['QSPI_STATUS_ENABLE_Pos',['../component_2qspi_8h.html#aaa88fd251eb3cf0fb4d9654ee7e3d0b1',1,'qspi.h']]],
['qspi_5fstatus_5fmask_25529',['QSPI_STATUS_MASK',['../component_2qspi_8h.html#a2e8ceb017e15659067e5a0290bc3aae0',1,'qspi.h']]],
['qspi_5fstatus_5foffset_25530',['QSPI_STATUS_OFFSET',['../component_2qspi_8h.html#a7e6800de30b18ee1c16a17e8c3683b7d',1,'qspi.h']]],
['qspi_5fstatus_5fresetvalue_25531',['QSPI_STATUS_RESETVALUE',['../component_2qspi_8h.html#af605ee4eedd56fe75b161ca047fe240f',1,'qspi.h']]],
['qspi_5ftxdata_5fdata_5fpos_25532',['QSPI_TXDATA_DATA_Pos',['../component_2qspi_8h.html#aebe5e7d967560044c44c7c65b4420e69',1,'qspi.h']]],
['qspi_5ftxdata_5fmask_25533',['QSPI_TXDATA_MASK',['../component_2qspi_8h.html#a1da1b467d64f72334eb2797919ceec36',1,'qspi.h']]],
['qspi_5ftxdata_5foffset_25534',['QSPI_TXDATA_OFFSET',['../component_2qspi_8h.html#a0ca429506a8e6b03fb928b3a26c20bfc',1,'qspi.h']]],
['qspi_5ftxdata_5fresetvalue_25535',['QSPI_TXDATA_RESETVALUE',['../component_2qspi_8h.html#a3477e070bac8c154a985baae65233b38',1,'qspi.h']]]
];

File diff suppressed because it is too large Load Diff

@ -1,4 +1,4 @@
var searchData=
[
['irqn_19209',['IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54p20a.h']]]
['irqn_19244',['IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn():&#160;same54p20a.h']]]
];

@ -1,9 +1,9 @@
var searchData=
[
['ac_5firqn_19210',['AC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54p20a.h']]],
['adc0_5f0_5firqn_19211',['ADC0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54p20a.h']]],
['adc0_5f1_5firqn_19212',['ADC0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54p20a.h']]],
['adc1_5f0_5firqn_19213',['ADC1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54p20a.h']]],
['adc1_5f1_5firqn_19214',['ADC1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54p20a.h']]],
['aes_5firqn_19215',['AES_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54p20a.h']]]
['ac_5firqn_19245',['AC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a34da89bb41e38a1e619624f9f1472351',1,'AC_IRQn():&#160;same54p20a.h']]],
['adc0_5f0_5firqn_19246',['ADC0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce288201f5ad844866013838ad72a5f',1,'ADC0_0_IRQn():&#160;same54p20a.h']]],
['adc0_5f1_5firqn_19247',['ADC0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9eecc6e39b01fa655935524c668c0146',1,'ADC0_1_IRQn():&#160;same54p20a.h']]],
['adc1_5f0_5firqn_19248',['ADC1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a16703b177097c670c5355f53b15c2e15',1,'ADC1_0_IRQn():&#160;same54p20a.h']]],
['adc1_5f1_5firqn_19249',['ADC1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acd7fdd142eedcda60ce46eb706ae0eec',1,'ADC1_1_IRQn():&#160;same54p20a.h']]],
['aes_5firqn_19250',['AES_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a847dc5472a69022647730f0193930e92',1,'AES_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['busfault_5firqn_19216',['BusFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54p20a.h']]]
['busfault_5firqn_19251',['BusFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8693500eff174f16119e96234fee73af',1,'BusFault_IRQn():&#160;same54p20a.h']]]
];

@ -1,34 +1,34 @@
var searchData=
[
['tc0_5firqn_19317',['TC0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54p20a.h']]],
['tc1_5firqn_19318',['TC1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54p20a.h']]],
['tc2_5firqn_19319',['TC2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54p20a.h']]],
['tc3_5firqn_19320',['TC3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54p20a.h']]],
['tc4_5firqn_19321',['TC4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54p20a.h']]],
['tc5_5firqn_19322',['TC5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54p20a.h']]],
['tc6_5firqn_19323',['TC6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54p20a.h']]],
['tc7_5firqn_19324',['TC7_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54p20a.h']]],
['tcc0_5f0_5firqn_19325',['TCC0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54p20a.h']]],
['tcc0_5f1_5firqn_19326',['TCC0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54p20a.h']]],
['tcc0_5f2_5firqn_19327',['TCC0_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54p20a.h']]],
['tcc0_5f3_5firqn_19328',['TCC0_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54p20a.h']]],
['tcc0_5f4_5firqn_19329',['TCC0_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54p20a.h']]],
['tcc0_5f5_5firqn_19330',['TCC0_5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54p20a.h']]],
['tcc0_5f6_5firqn_19331',['TCC0_6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54p20a.h']]],
['tcc1_5f0_5firqn_19332',['TCC1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54p20a.h']]],
['tcc1_5f1_5firqn_19333',['TCC1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54p20a.h']]],
['tcc1_5f2_5firqn_19334',['TCC1_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54p20a.h']]],
['tcc1_5f3_5firqn_19335',['TCC1_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54p20a.h']]],
['tcc1_5f4_5firqn_19336',['TCC1_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54p20a.h']]],
['tcc2_5f0_5firqn_19337',['TCC2_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54p20a.h']]],
['tcc2_5f1_5firqn_19338',['TCC2_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54p20a.h']]],
['tcc2_5f2_5firqn_19339',['TCC2_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54p20a.h']]],
['tcc2_5f3_5firqn_19340',['TCC2_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54p20a.h']]],
['tcc3_5f0_5firqn_19341',['TCC3_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54p20a.h']]],
['tcc3_5f1_5firqn_19342',['TCC3_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54p20a.h']]],
['tcc3_5f2_5firqn_19343',['TCC3_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54p20a.h']]],
['tcc4_5f0_5firqn_19344',['TCC4_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54p20a.h']]],
['tcc4_5f1_5firqn_19345',['TCC4_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54p20a.h']]],
['tcc4_5f2_5firqn_19346',['TCC4_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54p20a.h']]],
['trng_5firqn_19347',['TRNG_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54p20a.h']]]
['tc0_5firqn_19352',['TC0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22b1945416945d43c4da17beff9a07f5',1,'TC0_IRQn():&#160;same54p20a.h']]],
['tc1_5firqn_19353',['TC1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a619d4d4ba34fade28f678663948b234e',1,'TC1_IRQn():&#160;same54p20a.h']]],
['tc2_5firqn_19354',['TC2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8feb20461cae509e115a4965df4851bb',1,'TC2_IRQn():&#160;same54p20a.h']]],
['tc3_5firqn_19355',['TC3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b195297aae3d4fffaf47d63f76dd54d',1,'TC3_IRQn():&#160;same54p20a.h']]],
['tc4_5firqn_19356',['TC4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5810a6df881ddcfe8347f6400c76fffb',1,'TC4_IRQn():&#160;same54p20a.h']]],
['tc5_5firqn_19357',['TC5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7d84acc2578d91161cb9cb56481cb71f',1,'TC5_IRQn():&#160;same54p20a.h']]],
['tc6_5firqn_19358',['TC6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a18da57ace992c87e49fa06a456516a43',1,'TC6_IRQn():&#160;same54p20a.h']]],
['tc7_5firqn_19359',['TC7_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a86f45ef73b0f9e601dd8854f22e729c8',1,'TC7_IRQn():&#160;same54p20a.h']]],
['tcc0_5f0_5firqn_19360',['TCC0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8d8c8b6328317166523d0342f45b6fa6',1,'TCC0_0_IRQn():&#160;same54p20a.h']]],
['tcc0_5f1_5firqn_19361',['TCC0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3d92f66e475148e85c6d520ac84a3b7',1,'TCC0_1_IRQn():&#160;same54p20a.h']]],
['tcc0_5f2_5firqn_19362',['TCC0_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaac61f1181e60fda8de976689cfad6e4',1,'TCC0_2_IRQn():&#160;same54p20a.h']]],
['tcc0_5f3_5firqn_19363',['TCC0_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2d70842e89d8116d73127e5475fe20ef',1,'TCC0_3_IRQn():&#160;same54p20a.h']]],
['tcc0_5f4_5firqn_19364',['TCC0_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aaf6f599de9761ed8d5ab9b103dd5c0dd',1,'TCC0_4_IRQn():&#160;same54p20a.h']]],
['tcc0_5f5_5firqn_19365',['TCC0_5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a363db2d7e5b4cbb00cb329af80d3503b',1,'TCC0_5_IRQn():&#160;same54p20a.h']]],
['tcc0_5f6_5firqn_19366',['TCC0_6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6c22e0b9ce6d9cfbdb058484680571b',1,'TCC0_6_IRQn():&#160;same54p20a.h']]],
['tcc1_5f0_5firqn_19367',['TCC1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1d696f458a6e918b400c037b100afbec',1,'TCC1_0_IRQn():&#160;same54p20a.h']]],
['tcc1_5f1_5firqn_19368',['TCC1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf15f97543bb39d9949eee52b4d6405e',1,'TCC1_1_IRQn():&#160;same54p20a.h']]],
['tcc1_5f2_5firqn_19369',['TCC1_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa5a6b731f9a985533e0600d6d73625fd',1,'TCC1_2_IRQn():&#160;same54p20a.h']]],
['tcc1_5f3_5firqn_19370',['TCC1_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a335c60fef5631ea39c0bb5bdf6783b06',1,'TCC1_3_IRQn():&#160;same54p20a.h']]],
['tcc1_5f4_5firqn_19371',['TCC1_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade2feb33bba49f1d1acbf346b52cf26b',1,'TCC1_4_IRQn():&#160;same54p20a.h']]],
['tcc2_5f0_5firqn_19372',['TCC2_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a660d63af0f361bb8f8257e7fdc08bada',1,'TCC2_0_IRQn():&#160;same54p20a.h']]],
['tcc2_5f1_5firqn_19373',['TCC2_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af57680f474bf8d2c46d4aeebe61c3ff0',1,'TCC2_1_IRQn():&#160;same54p20a.h']]],
['tcc2_5f2_5firqn_19374',['TCC2_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acbfa84b37106d38691cdfc98e3a9ab33',1,'TCC2_2_IRQn():&#160;same54p20a.h']]],
['tcc2_5f3_5firqn_19375',['TCC2_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1baa421e0c80412bbb1381524528a78',1,'TCC2_3_IRQn():&#160;same54p20a.h']]],
['tcc3_5f0_5firqn_19376',['TCC3_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af16a334b9d8ef9e11d16d2ca4b418543',1,'TCC3_0_IRQn():&#160;same54p20a.h']]],
['tcc3_5f1_5firqn_19377',['TCC3_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac6999e85c33a271e51c1e01743aa536b',1,'TCC3_1_IRQn():&#160;same54p20a.h']]],
['tcc3_5f2_5firqn_19378',['TCC3_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a546c311e521f0c16b4fcfd270703f557',1,'TCC3_2_IRQn():&#160;same54p20a.h']]],
['tcc4_5f0_5firqn_19379',['TCC4_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7083cc1cf8761124fa06e29ac119ff99',1,'TCC4_0_IRQn():&#160;same54p20a.h']]],
['tcc4_5f1_5firqn_19380',['TCC4_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a89ce2d6ef38c0037ab7d91391e089895',1,'TCC4_1_IRQn():&#160;same54p20a.h']]],
['tcc4_5f2_5firqn_19381',['TCC4_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6c6ed47f864b18968d2f4c87b6b31bd3',1,'TCC4_2_IRQn():&#160;same54p20a.h']]],
['trng_5firqn_19382',['TRNG_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab6fd9e51ccbdcd34796f8618f934adf3',1,'TRNG_IRQn():&#160;same54p20a.h']]]
];

@ -1,8 +1,8 @@
var searchData=
[
['usagefault_5firqn_19348',['UsageFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54p20a.h']]],
['usb_5f0_5firqn_19349',['USB_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54p20a.h']]],
['usb_5f1_5firqn_19350',['USB_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54p20a.h']]],
['usb_5f2_5firqn_19351',['USB_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54p20a.h']]],
['usb_5f3_5firqn_19352',['USB_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54p20a.h']]]
['usagefault_5firqn_19383',['UsageFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6895237c9443601ac832efa635dd8bbf',1,'UsageFault_IRQn():&#160;same54p20a.h']]],
['usb_5f0_5firqn_19384',['USB_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a822908471eee60de9e7a0b6d4eee2c15',1,'USB_0_IRQn():&#160;same54p20a.h']]],
['usb_5f1_5firqn_19385',['USB_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4655f930811c57ffa2fb691419adc108',1,'USB_1_IRQn():&#160;same54p20a.h']]],
['usb_5f2_5firqn_19386',['USB_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a31b109662e80ff724dc1b1c92bb36a90',1,'USB_2_IRQn():&#160;same54p20a.h']]],
['usb_5f3_5firqn_19387',['USB_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6d11fb79106465d1c5b59ef33cbba47a',1,'USB_3_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['wdt_5firqn_19353',['WDT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p20a.h']]]
['wdt_5firqn_19388',['WDT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a78573b84a4133ef5812b33ce10dcba12',1,'WDT_IRQn():&#160;same54p20a.h']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['can0_5firqn_19217',['CAN0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54p20a.h']]],
['can1_5firqn_19218',['CAN1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54p20a.h']]]
['can0_5firqn_19252',['CAN0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22ce45d9b588ffece9df1f750f0faa37',1,'CAN0_IRQn():&#160;same54p20a.h']]],
['can1_5firqn_19253',['CAN1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a802cacc548e38e940f87f8c3788bd2e0',1,'CAN1_IRQn():&#160;same54p20a.h']]]
];

@ -1,14 +1,14 @@
var searchData=
[
['dac_5f0_5firqn_19219',['DAC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54p20a.h']]],
['dac_5f1_5firqn_19220',['DAC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54p20a.h']]],
['dac_5f2_5firqn_19221',['DAC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54p20a.h']]],
['dac_5f3_5firqn_19222',['DAC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54p20a.h']]],
['dac_5f4_5firqn_19223',['DAC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54p20a.h']]],
['debugmonitor_5firqn_19224',['DebugMonitor_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54p20a.h']]],
['dmac_5f0_5firqn_19225',['DMAC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54p20a.h']]],
['dmac_5f1_5firqn_19226',['DMAC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54p20a.h']]],
['dmac_5f2_5firqn_19227',['DMAC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54p20a.h']]],
['dmac_5f3_5firqn_19228',['DMAC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54p20a.h']]],
['dmac_5f4_5firqn_19229',['DMAC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54p20a.h']]]
['dac_5f0_5firqn_19254',['DAC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad3c2f0dd52105efc4735cf6c190eee22',1,'DAC_0_IRQn():&#160;same54p20a.h']]],
['dac_5f1_5firqn_19255',['DAC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7e68a610bd692f0a3b13d02c93ac75f5',1,'DAC_1_IRQn():&#160;same54p20a.h']]],
['dac_5f2_5firqn_19256',['DAC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad55cd9f57dfa5521624c42c9a8a90a33',1,'DAC_2_IRQn():&#160;same54p20a.h']]],
['dac_5f3_5firqn_19257',['DAC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083acef0e876e8f554db168e30979ea3a585',1,'DAC_3_IRQn():&#160;same54p20a.h']]],
['dac_5f4_5firqn_19258',['DAC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0654a0da0e8600cca02cf336a35cdecc',1,'DAC_4_IRQn():&#160;same54p20a.h']]],
['debugmonitor_5firqn_19259',['DebugMonitor_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e033fcef7aed98a31c60a7de206722c',1,'DebugMonitor_IRQn():&#160;same54p20a.h']]],
['dmac_5f0_5firqn_19260',['DMAC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a121f079732f57561854775ff5677f262',1,'DMAC_0_IRQn():&#160;same54p20a.h']]],
['dmac_5f1_5firqn_19261',['DMAC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2a4bd89e3302eb7bc92d2098b8bc9150',1,'DMAC_1_IRQn():&#160;same54p20a.h']]],
['dmac_5f2_5firqn_19262',['DMAC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a824639000c40d8192594c687263bd5',1,'DMAC_2_IRQn():&#160;same54p20a.h']]],
['dmac_5f3_5firqn_19263',['DMAC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad4bfdc63a348e0a68f4da0a807c0cf3e',1,'DMAC_3_IRQn():&#160;same54p20a.h']]],
['dmac_5f4_5firqn_19264',['DMAC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac77f22e096da619ae0df00645fb7219a',1,'DMAC_4_IRQn():&#160;same54p20a.h']]]
];

@ -1,24 +1,24 @@
var searchData=
[
['eic_5f0_5firqn_19230',['EIC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54p20a.h']]],
['eic_5f10_5firqn_19231',['EIC_10_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54p20a.h']]],
['eic_5f11_5firqn_19232',['EIC_11_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54p20a.h']]],
['eic_5f12_5firqn_19233',['EIC_12_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54p20a.h']]],
['eic_5f13_5firqn_19234',['EIC_13_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54p20a.h']]],
['eic_5f14_5firqn_19235',['EIC_14_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54p20a.h']]],
['eic_5f15_5firqn_19236',['EIC_15_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54p20a.h']]],
['eic_5f1_5firqn_19237',['EIC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54p20a.h']]],
['eic_5f2_5firqn_19238',['EIC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54p20a.h']]],
['eic_5f3_5firqn_19239',['EIC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54p20a.h']]],
['eic_5f4_5firqn_19240',['EIC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54p20a.h']]],
['eic_5f5_5firqn_19241',['EIC_5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54p20a.h']]],
['eic_5f6_5firqn_19242',['EIC_6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54p20a.h']]],
['eic_5f7_5firqn_19243',['EIC_7_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54p20a.h']]],
['eic_5f8_5firqn_19244',['EIC_8_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54p20a.h']]],
['eic_5f9_5firqn_19245',['EIC_9_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54p20a.h']]],
['evsys_5f0_5firqn_19246',['EVSYS_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54p20a.h']]],
['evsys_5f1_5firqn_19247',['EVSYS_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54p20a.h']]],
['evsys_5f2_5firqn_19248',['EVSYS_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54p20a.h']]],
['evsys_5f3_5firqn_19249',['EVSYS_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54p20a.h']]],
['evsys_5f4_5firqn_19250',['EVSYS_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54p20a.h']]]
['eic_5f0_5firqn_19265',['EIC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a57dbc6f900dc851d9542dca09e3d9ef8',1,'EIC_0_IRQn():&#160;same54p20a.h']]],
['eic_5f10_5firqn_19266',['EIC_10_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a87b503117df361c175c962d51fed25dc',1,'EIC_10_IRQn():&#160;same54p20a.h']]],
['eic_5f11_5firqn_19267',['EIC_11_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cf65d2f9fccdc074fd62b610cadd9d8',1,'EIC_11_IRQn():&#160;same54p20a.h']]],
['eic_5f12_5firqn_19268',['EIC_12_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4673fb9581e6ce32050a37528fff0765',1,'EIC_12_IRQn():&#160;same54p20a.h']]],
['eic_5f13_5firqn_19269',['EIC_13_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0f0713e7a48a1bb218e8d735710a4d7c',1,'EIC_13_IRQn():&#160;same54p20a.h']]],
['eic_5f14_5firqn_19270',['EIC_14_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae1ce53dfa89a5ede24e8520b6ab2a26d',1,'EIC_14_IRQn():&#160;same54p20a.h']]],
['eic_5f15_5firqn_19271',['EIC_15_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a053ca1c4b2465ddbd454d290f114a7',1,'EIC_15_IRQn():&#160;same54p20a.h']]],
['eic_5f1_5firqn_19272',['EIC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae5973f77056f368ea11ecb00ecf223fe',1,'EIC_1_IRQn():&#160;same54p20a.h']]],
['eic_5f2_5firqn_19273',['EIC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a1baa704dadd0ddc63c488e1139ac803a',1,'EIC_2_IRQn():&#160;same54p20a.h']]],
['eic_5f3_5firqn_19274',['EIC_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab3faf11c78ef9bdaa4c145781598e662',1,'EIC_3_IRQn():&#160;same54p20a.h']]],
['eic_5f4_5firqn_19275',['EIC_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a55004ad1880e80e927aa5b1b5b6bf8b6',1,'EIC_4_IRQn():&#160;same54p20a.h']]],
['eic_5f5_5firqn_19276',['EIC_5_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ace55c9baf1730ae3009fa5b0ef4d0e37',1,'EIC_5_IRQn():&#160;same54p20a.h']]],
['eic_5f6_5firqn_19277',['EIC_6_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3cb5a6d8363dd878f07e3f145425c39d',1,'EIC_6_IRQn():&#160;same54p20a.h']]],
['eic_5f7_5firqn_19278',['EIC_7_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abec355464493c4754542fff41fb159ca',1,'EIC_7_IRQn():&#160;same54p20a.h']]],
['eic_5f8_5firqn_19279',['EIC_8_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a2db2d8c71a8e690d2536f574809b5680',1,'EIC_8_IRQn():&#160;same54p20a.h']]],
['eic_5f9_5firqn_19280',['EIC_9_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a562f85e99cc3b73a7e2bc29f99933bc3',1,'EIC_9_IRQn():&#160;same54p20a.h']]],
['evsys_5f0_5firqn_19281',['EVSYS_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a473c7a7391c31640c13d515c5cd64114',1,'EVSYS_0_IRQn():&#160;same54p20a.h']]],
['evsys_5f1_5firqn_19282',['EVSYS_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a714abf51a586b1cf902efc70057d4d14',1,'EVSYS_1_IRQn():&#160;same54p20a.h']]],
['evsys_5f2_5firqn_19283',['EVSYS_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a43844e1b7ed808203717171cbac1089f',1,'EVSYS_2_IRQn():&#160;same54p20a.h']]],
['evsys_5f3_5firqn_19284',['EVSYS_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a77f2fd5642b0d507e37d4a73c1f3ef2a',1,'EVSYS_3_IRQn():&#160;same54p20a.h']]],
['evsys_5f4_5firqn_19285',['EVSYS_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa541d8ef8a0d457929fbcaf18a3af36e',1,'EVSYS_4_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['freqm_5firqn_19251',['FREQM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p20a.h']]]
['freqm_5firqn_19286',['FREQM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a39e08dfa9a10e2c5e68534344740ca32',1,'FREQM_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['gmac_5firqn_19252',['GMAC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54p20a.h']]]
['gmac_5firqn_19287',['GMAC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a48c403625e9c965be673fa117fbc5101',1,'GMAC_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['hardfault_5firqn_19253',['HardFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p20a.h']]]
['hardfault_5firqn_19288',['HardFault_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab1a222a34a32f0ef5ac65e714efc1f85',1,'HardFault_IRQn():&#160;same54p20a.h']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['i2s_5firqn_19254',['I2S_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54p20a.h']]],
['icm_5firqn_19255',['ICM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54p20a.h']]]
['i2s_5firqn_19289',['I2S_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn():&#160;same54p20a.h']]],
['icm_5firqn_19290',['ICM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn():&#160;same54p20a.h']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['mclk_5firqn_19256',['MCLK_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p20a.h']]],
['memorymanagement_5firqn_19257',['MemoryManagement_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p20a.h']]]
['mclk_5firqn_19291',['MCLK_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn():&#160;same54p20a.h']]],
['memorymanagement_5firqn_19292',['MemoryManagement_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn():&#160;same54p20a.h']]]
];

@ -1,6 +1,6 @@
var searchData=
[
['nonmaskableint_5firqn_19258',['NonMaskableInt_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f0_5firqn_19259',['NVMCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f1_5firqn_19260',['NVMCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p20a.h']]]
['nonmaskableint_5firqn_19293',['NonMaskableInt_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade177d9c70c89e084093024b932a4e30',1,'NonMaskableInt_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f0_5firqn_19294',['NVMCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac2e76fece6a4453d3711e977c7602237',1,'NVMCTRL_0_IRQn():&#160;same54p20a.h']]],
['nvmctrl_5f1_5firqn_19295',['NVMCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabee9b239722efabe7b1727a70be5041',1,'NVMCTRL_1_IRQn():&#160;same54p20a.h']]]
];

@ -1,9 +1,9 @@
var searchData=
[
['osc32kctrl_5firqn_19261',['OSC32KCTRL_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f0_5firqn_19262',['OSCCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f1_5firqn_19263',['OSCCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f2_5firqn_19264',['OSCCTRL_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f3_5firqn_19265',['OSCCTRL_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f4_5firqn_19266',['OSCCTRL_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p20a.h']]]
['osc32kctrl_5firqn_19296',['OSC32KCTRL_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a73e7a1f1c2b513167c9a7e30fe3f76e9',1,'OSC32KCTRL_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f0_5firqn_19297',['OSCCTRL_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9b80f40474e7ad584ec4ea271c11b9fa',1,'OSCCTRL_0_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f1_5firqn_19298',['OSCCTRL_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083abf39e46d3a5a8785146ed4377181c878',1,'OSCCTRL_1_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f2_5firqn_19299',['OSCCTRL_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5350497800dae9a45a5b87030eaa3c19',1,'OSCCTRL_2_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f3_5firqn_19300',['OSCCTRL_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0aee6cce7b8e9f3b05807bd7628f5cc7',1,'OSCCTRL_3_IRQn():&#160;same54p20a.h']]],
['oscctrl_5f4_5firqn_19301',['OSCCTRL_4_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a9ec11a50c0a2bd8959e729061aaa6215',1,'OSCCTRL_4_IRQn():&#160;same54p20a.h']]]
];

@ -1,12 +1,12 @@
var searchData=
[
['pac_5firqn_19267',['PAC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54p20a.h']]],
['pcc_5firqn_19268',['PCC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54p20a.h']]],
['pdec_5f0_5firqn_19269',['PDEC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54p20a.h']]],
['pdec_5f1_5firqn_19270',['PDEC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54p20a.h']]],
['pdec_5f2_5firqn_19271',['PDEC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54p20a.h']]],
['pendsv_5firqn_19272',['PendSV_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54p20a.h']]],
['periph_5fcount_5firqn_19273',['PERIPH_COUNT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54p20a.h']]],
['pm_5firqn_19274',['PM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54p20a.h']]],
['pukcc_5firqn_19275',['PUKCC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54p20a.h']]]
['pac_5firqn_19302',['PAC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a46764751217ebbbb732b70bc57fec9fd',1,'PAC_IRQn():&#160;same54p20a.h']]],
['pcc_5firqn_19303',['PCC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a790decd67b0ceed404036a588f41ee26',1,'PCC_IRQn():&#160;same54p20a.h']]],
['pdec_5f0_5firqn_19304',['PDEC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa56085475baf8dd051f9b4dafa1c915a',1,'PDEC_0_IRQn():&#160;same54p20a.h']]],
['pdec_5f1_5firqn_19305',['PDEC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6b1b84608893242b8389f6571de2ad12',1,'PDEC_1_IRQn():&#160;same54p20a.h']]],
['pdec_5f2_5firqn_19306',['PDEC_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a10a52b428c79b709a94827d4ad461c73',1,'PDEC_2_IRQn():&#160;same54p20a.h']]],
['pendsv_5firqn_19307',['PendSV_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a03c3cc89984928816d81793fc7bce4a2',1,'PendSV_IRQn():&#160;same54p20a.h']]],
['periph_5fcount_5firqn_19308',['PERIPH_COUNT_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a80bbec9a16e6c5b75d55e20b1a318c22',1,'PERIPH_COUNT_IRQn():&#160;same54p20a.h']]],
['pm_5firqn_19309',['PM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adb4772651d36d91686d77e8437abe8a6',1,'PM_IRQn():&#160;same54p20a.h']]],
['pukcc_5firqn_19310',['PUKCC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ae639d83d0ac24ddd8fa81892714ae79a',1,'PUKCC_IRQn():&#160;same54p20a.h']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['qspi_5firqn_19276',['QSPI_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p20a.h']]]
['qspi_5firqn_19311',['QSPI_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac65ccf7b7c60881ca7fd3f8ff4cd27b6',1,'QSPI_IRQn():&#160;same54p20a.h']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['ramecc_5firqn_19277',['RAMECC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54p20a.h']]],
['rtc_5firqn_19278',['RTC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54p20a.h']]]
['ramecc_5firqn_19312',['RAMECC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ad67d80c22a391be7543694facffe5bea',1,'RAMECC_IRQn():&#160;same54p20a.h']]],
['rtc_5firqn_19313',['RTC_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adcc0f2770f7f57f75fac3d8bcac0e858',1,'RTC_IRQn():&#160;same54p20a.h']]]
];

@ -1,41 +1,41 @@
var searchData=
[
['sdhc0_5firqn_19279',['SDHC0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54p20a.h']]],
['sdhc1_5firqn_19280',['SDHC1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54p20a.h']]],
['sercom0_5f0_5firqn_19281',['SERCOM0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54p20a.h']]],
['sercom0_5f1_5firqn_19282',['SERCOM0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54p20a.h']]],
['sercom0_5f2_5firqn_19283',['SERCOM0_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54p20a.h']]],
['sercom0_5f3_5firqn_19284',['SERCOM0_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54p20a.h']]],
['sercom1_5f0_5firqn_19285',['SERCOM1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54p20a.h']]],
['sercom1_5f1_5firqn_19286',['SERCOM1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54p20a.h']]],
['sercom1_5f2_5firqn_19287',['SERCOM1_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54p20a.h']]],
['sercom1_5f3_5firqn_19288',['SERCOM1_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54p20a.h']]],
['sercom2_5f0_5firqn_19289',['SERCOM2_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54p20a.h']]],
['sercom2_5f1_5firqn_19290',['SERCOM2_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54p20a.h']]],
['sercom2_5f2_5firqn_19291',['SERCOM2_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54p20a.h']]],
['sercom2_5f3_5firqn_19292',['SERCOM2_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54p20a.h']]],
['sercom3_5f0_5firqn_19293',['SERCOM3_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54p20a.h']]],
['sercom3_5f1_5firqn_19294',['SERCOM3_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54p20a.h']]],
['sercom3_5f2_5firqn_19295',['SERCOM3_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54p20a.h']]],
['sercom3_5f3_5firqn_19296',['SERCOM3_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54p20a.h']]],
['sercom4_5f0_5firqn_19297',['SERCOM4_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54p20a.h']]],
['sercom4_5f1_5firqn_19298',['SERCOM4_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54p20a.h']]],
['sercom4_5f2_5firqn_19299',['SERCOM4_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54p20a.h']]],
['sercom4_5f3_5firqn_19300',['SERCOM4_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54p20a.h']]],
['sercom5_5f0_5firqn_19301',['SERCOM5_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54p20a.h']]],
['sercom5_5f1_5firqn_19302',['SERCOM5_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54p20a.h']]],
['sercom5_5f2_5firqn_19303',['SERCOM5_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54p20a.h']]],
['sercom5_5f3_5firqn_19304',['SERCOM5_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54p20a.h']]],
['sercom6_5f0_5firqn_19305',['SERCOM6_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54p20a.h']]],
['sercom6_5f1_5firqn_19306',['SERCOM6_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54p20a.h']]],
['sercom6_5f2_5firqn_19307',['SERCOM6_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54p20a.h']]],
['sercom6_5f3_5firqn_19308',['SERCOM6_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54p20a.h']]],
['sercom7_5f0_5firqn_19309',['SERCOM7_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54p20a.h']]],
['sercom7_5f1_5firqn_19310',['SERCOM7_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54p20a.h']]],
['sercom7_5f2_5firqn_19311',['SERCOM7_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54p20a.h']]],
['sercom7_5f3_5firqn_19312',['SERCOM7_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54p20a.h']]],
['supc_5f0_5firqn_19313',['SUPC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54p20a.h']]],
['supc_5f1_5firqn_19314',['SUPC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54p20a.h']]],
['svcall_5firqn_19315',['SVCall_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54p20a.h']]],
['systick_5firqn_19316',['SysTick_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54p20a.h']]]
['sdhc0_5firqn_19314',['SDHC0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab01f1080375dca127962790979efc213',1,'SDHC0_IRQn():&#160;same54p20a.h']]],
['sdhc1_5firqn_19315',['SDHC1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3b6d4da145765f3c002e02af41808a97',1,'SDHC1_IRQn():&#160;same54p20a.h']]],
['sercom0_5f0_5firqn_19316',['SERCOM0_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab9bb18aa01dec22b2d7f88613846d40f',1,'SERCOM0_0_IRQn():&#160;same54p20a.h']]],
['sercom0_5f1_5firqn_19317',['SERCOM0_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8668f92332ad9591f5b558ccfd392088',1,'SERCOM0_1_IRQn():&#160;same54p20a.h']]],
['sercom0_5f2_5firqn_19318',['SERCOM0_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a67b43d2d0e6e6ff2f29feb9bb656dd0f',1,'SERCOM0_2_IRQn():&#160;same54p20a.h']]],
['sercom0_5f3_5firqn_19319',['SERCOM0_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a29fc3e703e9af3dc450902639b9f63f5',1,'SERCOM0_3_IRQn():&#160;same54p20a.h']]],
['sercom1_5f0_5firqn_19320',['SERCOM1_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5a47d6bb2431b03ef47d6929e0afc7c8',1,'SERCOM1_0_IRQn():&#160;same54p20a.h']]],
['sercom1_5f1_5firqn_19321',['SERCOM1_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a3157c9ce61eb3b7c621d8dc5525371b1',1,'SERCOM1_1_IRQn():&#160;same54p20a.h']]],
['sercom1_5f2_5firqn_19322',['SERCOM1_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a236d71c0c4f134e9b3bec9a09079bbfc',1,'SERCOM1_2_IRQn():&#160;same54p20a.h']]],
['sercom1_5f3_5firqn_19323',['SERCOM1_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a7085e20d47fbd5cd5a9574d53975ca9e',1,'SERCOM1_3_IRQn():&#160;same54p20a.h']]],
['sercom2_5f0_5firqn_19324',['SERCOM2_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4e8a8c9b09bf14b88ab7d00aed505288',1,'SERCOM2_0_IRQn():&#160;same54p20a.h']]],
['sercom2_5f1_5firqn_19325',['SERCOM2_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa8b941a74845800d3678a4debb3da60f',1,'SERCOM2_1_IRQn():&#160;same54p20a.h']]],
['sercom2_5f2_5firqn_19326',['SERCOM2_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac7fe5a1daa7b3de87eb41d162f6098db',1,'SERCOM2_2_IRQn():&#160;same54p20a.h']]],
['sercom2_5f3_5firqn_19327',['SERCOM2_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5287bd60d1bdde0ab881f86df0ecfa72',1,'SERCOM2_3_IRQn():&#160;same54p20a.h']]],
['sercom3_5f0_5firqn_19328',['SERCOM3_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a72681bd54ddb42be8735e56ffe6bb52c',1,'SERCOM3_0_IRQn():&#160;same54p20a.h']]],
['sercom3_5f1_5firqn_19329',['SERCOM3_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a0a799312fcb921c2adf7c2c4f26cb9fc',1,'SERCOM3_1_IRQn():&#160;same54p20a.h']]],
['sercom3_5f2_5firqn_19330',['SERCOM3_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adc23823faa6ef77daf961b6ad48bab3a',1,'SERCOM3_2_IRQn():&#160;same54p20a.h']]],
['sercom3_5f3_5firqn_19331',['SERCOM3_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a535bce9f6d5cc5f53fdbc2ef3b75318a',1,'SERCOM3_3_IRQn():&#160;same54p20a.h']]],
['sercom4_5f0_5firqn_19332',['SERCOM4_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a5409c3605cf6d3a93ec518611105fc2f',1,'SERCOM4_0_IRQn():&#160;same54p20a.h']]],
['sercom4_5f1_5firqn_19333',['SERCOM4_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ab8547b2946d8960a8ba6368939e2f19b',1,'SERCOM4_1_IRQn():&#160;same54p20a.h']]],
['sercom4_5f2_5firqn_19334',['SERCOM4_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac5db2723fed2e4032d8da262573d0494',1,'SERCOM4_2_IRQn():&#160;same54p20a.h']]],
['sercom4_5f3_5firqn_19335',['SERCOM4_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a06fabeced0a6e17c96c17bb2eb033e92',1,'SERCOM4_3_IRQn():&#160;same54p20a.h']]],
['sercom5_5f0_5firqn_19336',['SERCOM5_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8e020bdf772b8e39e85c12125d5a1915',1,'SERCOM5_0_IRQn():&#160;same54p20a.h']]],
['sercom5_5f1_5firqn_19337',['SERCOM5_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ade68e46181a8b18f982fec9dae4325d4',1,'SERCOM5_1_IRQn():&#160;same54p20a.h']]],
['sercom5_5f2_5firqn_19338',['SERCOM5_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a228480711217de3ca2a71ad59dd57d26',1,'SERCOM5_2_IRQn():&#160;same54p20a.h']]],
['sercom5_5f3_5firqn_19339',['SERCOM5_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4aed00847dcf5d5f9494011cce099c35',1,'SERCOM5_3_IRQn():&#160;same54p20a.h']]],
['sercom6_5f0_5firqn_19340',['SERCOM6_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a45ace6d45592c83f1f61937dc14d6923',1,'SERCOM6_0_IRQn():&#160;same54p20a.h']]],
['sercom6_5f1_5firqn_19341',['SERCOM6_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aa14f2a2fd054c9eb1e410f037c1e1e82',1,'SERCOM6_1_IRQn():&#160;same54p20a.h']]],
['sercom6_5f2_5firqn_19342',['SERCOM6_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a059388b7192ced6c48e04db79cfa3cba',1,'SERCOM6_2_IRQn():&#160;same54p20a.h']]],
['sercom6_5f3_5firqn_19343',['SERCOM6_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aabca349c775d1a3e9455decfeaf20398',1,'SERCOM6_3_IRQn():&#160;same54p20a.h']]],
['sercom7_5f0_5firqn_19344',['SERCOM7_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a365c1143a37f06d6b93a5eb17cda6452',1,'SERCOM7_0_IRQn():&#160;same54p20a.h']]],
['sercom7_5f1_5firqn_19345',['SERCOM7_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a8ab3ed655441e360a79964773fc7ba22',1,'SERCOM7_1_IRQn():&#160;same54p20a.h']]],
['sercom7_5f2_5firqn_19346',['SERCOM7_2_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083ac4cc730686e28cd502215a65e0d8c4c3',1,'SERCOM7_2_IRQn():&#160;same54p20a.h']]],
['sercom7_5f3_5firqn_19347',['SERCOM7_3_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a22610d9a5cb5c547e379ed80dd2bcb9e',1,'SERCOM7_3_IRQn():&#160;same54p20a.h']]],
['supc_5f0_5firqn_19348',['SUPC_0_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a74885a01eaadd42e27a2abda278b3099',1,'SUPC_0_IRQn():&#160;same54p20a.h']]],
['supc_5f1_5firqn_19349',['SUPC_1_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083af19164468a15900429c29e81f65ae8df',1,'SUPC_1_IRQn():&#160;same54p20a.h']]],
['svcall_5firqn_19350',['SVCall_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a4ce820b3cc6cf3a796b41aadc0cf1237',1,'SVCall_IRQn():&#160;same54p20a.h']]],
['systick_5firqn_19351',['SysTick_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a6dbff8f8543325f3474cbae2446776e7',1,'SysTick_IRQn():&#160;same54p20a.h']]]
];

@ -1,8 +1,8 @@
var searchData=
[
['ac_2eh_16377',['ac.h',['../component_2ac_8h.html',1,'(Global Namespace)'],['../instance_2ac_8h.html',1,'(Global Namespace)']]],
['adc_2eh_16378',['adc.h',['../adc_8h.html',1,'']]],
['adc0_2eh_16379',['adc0.h',['../adc0_8h.html',1,'']]],
['adc1_2eh_16380',['adc1.h',['../adc1_8h.html',1,'']]],
['aes_2eh_16381',['aes.h',['../component_2aes_8h.html',1,'(Global Namespace)'],['../instance_2aes_8h.html',1,'(Global Namespace)']]]
['ac_2eh_16412',['ac.h',['../component_2ac_8h.html',1,'(Global Namespace)'],['../instance_2ac_8h.html',1,'(Global Namespace)']]],
['adc_2eh_16413',['adc.h',['../adc_8h.html',1,'']]],
['adc0_2eh_16414',['adc0.h',['../adc0_8h.html',1,'']]],
['adc1_2eh_16415',['adc1.h',['../adc1_8h.html',1,'']]],
['aes_2eh_16416',['aes.h',['../component_2aes_8h.html',1,'(Global Namespace)'],['../instance_2aes_8h.html',1,'(Global Namespace)']]]
];

@ -1,10 +1,10 @@
var searchData=
[
['can_2eh_16382',['can.h',['../can_8h.html',1,'']]],
['can0_2eh_16383',['can0.h',['../can0_8h.html',1,'']]],
['can1_2eh_16384',['can1.h',['../can1_8h.html',1,'']]],
['ccl_2eh_16385',['ccl.h',['../component_2ccl_8h.html',1,'(Global Namespace)'],['../instance_2ccl_8h.html',1,'(Global Namespace)']]],
['cmcc_2eh_16386',['cmcc.h',['../component_2cmcc_8h.html',1,'(Global Namespace)'],['../instance_2cmcc_8h.html',1,'(Global Namespace)']]],
['component_2dversion_2eh_16387',['component-version.h',['../component-version_8h.html',1,'']]],
['conf_5fcore_2eh_16388',['conf_core.h',['../conf__core_8h.html',1,'']]]
['can_2eh_16417',['can.h',['../can_8h.html',1,'']]],
['can0_2eh_16418',['can0.h',['../can0_8h.html',1,'']]],
['can1_2eh_16419',['can1.h',['../can1_8h.html',1,'']]],
['ccl_2eh_16420',['ccl.h',['../component_2ccl_8h.html',1,'(Global Namespace)'],['../instance_2ccl_8h.html',1,'(Global Namespace)']]],
['cmcc_2eh_16421',['cmcc.h',['../component_2cmcc_8h.html',1,'(Global Namespace)'],['../instance_2cmcc_8h.html',1,'(Global Namespace)']]],
['component_2dversion_2eh_16422',['component-version.h',['../component-version_8h.html',1,'']]],
['conf_5fcore_2eh_16423',['conf_core.h',['../conf__core_8h.html',1,'']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['usb_2eh_16456',['usb.h',['../component_2usb_8h.html',1,'(Global Namespace)'],['../instance_2usb_8h.html',1,'(Global Namespace)']]]
['usb_2eh_16491',['usb.h',['../component_2usb_8h.html',1,'(Global Namespace)'],['../instance_2usb_8h.html',1,'(Global Namespace)']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['wdt_2eh_16457',['wdt.h',['../component_2wdt_8h.html',1,'(Global Namespace)'],['../instance_2wdt_8h.html',1,'(Global Namespace)']]]
['wdt_2eh_16492',['wdt.h',['../component_2wdt_8h.html',1,'(Global Namespace)'],['../instance_2wdt_8h.html',1,'(Global Namespace)']]]
];

@ -1,6 +1,6 @@
var searchData=
[
['dac_2eh_16389',['dac.h',['../component_2dac_8h.html',1,'(Global Namespace)'],['../instance_2dac_8h.html',1,'(Global Namespace)']]],
['dmac_2eh_16390',['dmac.h',['../component_2dmac_8h.html',1,'(Global Namespace)'],['../instance_2dmac_8h.html',1,'(Global Namespace)']]],
['dsu_2eh_16391',['dsu.h',['../component_2dsu_8h.html',1,'(Global Namespace)'],['../instance_2dsu_8h.html',1,'(Global Namespace)']]]
['dac_2eh_16424',['dac.h',['../component_2dac_8h.html',1,'(Global Namespace)'],['../instance_2dac_8h.html',1,'(Global Namespace)']]],
['dmac_2eh_16425',['dmac.h',['../component_2dmac_8h.html',1,'(Global Namespace)'],['../instance_2dmac_8h.html',1,'(Global Namespace)']]],
['dsu_2eh_16426',['dsu.h',['../component_2dsu_8h.html',1,'(Global Namespace)'],['../instance_2dsu_8h.html',1,'(Global Namespace)']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['eic_2eh_16392',['eic.h',['../component_2eic_8h.html',1,'(Global Namespace)'],['../instance_2eic_8h.html',1,'(Global Namespace)']]],
['evsys_2eh_16393',['evsys.h',['../component_2evsys_8h.html',1,'(Global Namespace)'],['../instance_2evsys_8h.html',1,'(Global Namespace)']]]
['eic_2eh_16427',['eic.h',['../component_2eic_8h.html',1,'(Global Namespace)'],['../instance_2eic_8h.html',1,'(Global Namespace)']]],
['evsys_2eh_16428',['evsys.h',['../component_2evsys_8h.html',1,'(Global Namespace)'],['../instance_2evsys_8h.html',1,'(Global Namespace)']]]
];

@ -1,4 +1,4 @@
var searchData=
[
['freqm_2eh_16394',['freqm.h',['../component_2freqm_8h.html',1,'(Global Namespace)'],['../instance_2freqm_8h.html',1,'(Global Namespace)']]]
['freqm_2eh_16429',['freqm.h',['../component_2freqm_8h.html',1,'(Global Namespace)'],['../instance_2freqm_8h.html',1,'(Global Namespace)']]]
];

@ -1,5 +1,5 @@
var searchData=
[
['gclk_2eh_16395',['gclk.h',['../component_2gclk_8h.html',1,'(Global Namespace)'],['../instance_2gclk_8h.html',1,'(Global Namespace)']]],
['gmac_2eh_16396',['gmac.h',['../component_2gmac_8h.html',1,'(Global Namespace)'],['../instance_2gmac_8h.html',1,'(Global Namespace)']]]
['gclk_2eh_16430',['gclk.h',['../component_2gclk_8h.html',1,'(Global Namespace)'],['../instance_2gclk_8h.html',1,'(Global Namespace)']]],
['gmac_2eh_16431',['gmac.h',['../component_2gmac_8h.html',1,'(Global Namespace)'],['../instance_2gmac_8h.html',1,'(Global Namespace)']]]
];

Some files were not shown because too many files have changed in this diff Show More

Loading…
Cancel
Save