You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
This repo is archived. You can view files and clone it, but cannot push or open issues/pull-requests.

7 lines
488 B
Plaintext

EXT=PIN17_SPI_MISO,PIN15_SPI_SS_A,PIN13_UART_RX,PIN11_TWI_SDA,PIN9_IRQ/GPIO,PIN7_PWM(+),PIN5_GPIO,PIN3_ADC(+),PIN4_ADC(-),PIN6_GPIO,PIN8_PWM(-),PIN10_SPI_SS_B/GPIO,PIN12_TWI_SCL,PIN14_UART_TX,PIN16_SPI_MOSI,PIN18_SPI_SCK
ID_SYS=ID_1,ID_3,ID_2
PCC=RESET,DATA09,DATA01,DATA03,DATA05,DATA07,CLK,VSYNC/DEN1,I2C_SCL,I2C_SDA,HSYNC/DEN2,XCLK,DATA06,DATA04,DATA02,DATA00,DATA08,PWDN
PDEC=PHASE_A,PHASE_B,INDEX
SWD=SWDIO,SWCLK,SWO,TARGET_RESET
TRACE=TRACECLK,TRACED0,TRACED1,TRACED2,TRACED3