You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

43 lines
1.8 KiB
C

#ifndef _CONF_CLOCKS_H_
#define _CONF_CLOCKS_H_
// XOSC0 Config
#define CORE_CONF_CLK_XOSC0_ENABLE (1)
#define CORE_CONF_CLK_XOSC0_XTALEN (1)
#define CORE_CONF_CLK_XOSC0_RUNSTDBY (0)
#define CORE_CONF_CLK_XOSC0_ONDEMAND (0)
#define CORE_CONF_CLK_XOSC0_LOWBUFGAIN (0)
#define CORE_CONF_CLK_XOSC0_IPTAT CORE_CONF_CLK_XOSCCTRL_IPTAT_8MHZ_TO_16MHZ
#define CORE_CONF_CLK_XOSC0_IMULT CORE_CONF_CLK_XOSCCTRL_IMULT_8MHZ_TO_16MHZ
#define CORE_CONF_CLK_XOSC0_ENALC (1)
#define CORE_CONF_CLK_XOSC0_CFDEN (0)
#define CORE_CONF_CLK_XOSC0_SWBEN (0)
#define CORE_CONF_CLK_XOSC0_STARTUP_TIME CORE_CONF_CLK_XOSCCTRL_STARTUP_31us
#define CORE_CONF_CLK_XOSC0_CFDPRESC (0)
// XOSC1 Config
#define CORE_CONF_CLK_XOSC1_ENABLE (0)
#define CORE_CONF_CLK_XOSC1_XTALEN (0)
#define CORE_CONF_CLK_XOSC1_RUNSTDBY (0)
#define CORE_CONF_CLK_XOSC1_ONDEMAND (0)
#define CORE_CONF_CLK_XOSC1_LOWBUFGAIN (0)
#define CORE_CONF_CLK_XOSC1_IPTAT CORE_CONF_CLK_XOSCCTRL_IPTAT_8MHZ
#define CORE_CONF_CLK_XOSC1_IMULT CORE_CONF_CLK_XOSCCTRL_IMULT_8MHZ
#define CORE_CONF_CLK_XOSC1_ENALC (0)
#define CORE_CONF_CLK_XOSC1_CFDEN (0)
#define CORE_CONF_CLK_XOSC1_SWBEN (0)
#define CORE_CONF_CLK_XOSC1_STARTUP_TIME CORE_CONF_CLK_XOSCCTRL_STARTUP_31us
#define CORE_CONF_CLK_XOSC1_CFDPRESC (0)
// XOSC32K Config
#define CORE_CONF_CLK_XOSC32KCTRL_ENABLE (1)
#define CORE_CONF_CLK_XOSC32KCTRL_CGM CORE_CONF_CLK_XOSCK32CTRL_CGM_STD_MODE
#define CORE_CONF_CLK_XOSC32KCTRL_WRTLOCK (0)
#define CORE_CONF_CLK_XOSC32KCTRL_STARTUP_TIME CORE_CONF_CLK_XOSC32KCTRL_STARTUP_62592us
#define CORE_CONF_CLK_XOSC32KCTRL_ONDEMAND (0)
#define CORE_CONF_CLK_XOSC32KCTRL_RUNSTDBY (1)
#define CORE_CONF_CLK_XOSC32KCTRL_EN1K (0)
#define CORE_CONF_CLK_XOSC32KCTRL_EN32K (1)
#define CORE_CONF_CLK_XOSC32KCTRL_XTALEN (1)
#endif