You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

245 lines
28 KiB
HTML

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "https://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.20"/>
<meta name="viewport" content="width=device-width, initial-scale=1"/>
<title>SAME54P20A Test Project: /storage/Shared/Documents/Projects/ePenguin/ePenguin-Software-Framework/arch/arm/SAME54/SAME54A/mcu/inc/instance/tc2.h File Reference</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/searchdata.js"></script>
<script type="text/javascript" src="search/search.js"></script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
<tbody>
<tr style="height: 56px;">
<td id="projectalign" style="padding-left: 0.5em;">
<div id="projectname">SAME54P20A Test Project
</div>
</td>
</tr>
</tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.20 -->
<script type="text/javascript">
/* @license magnet:?xt=urn:btih:cf05388f2679ee054f2beb29a391d25f4e673ac3&amp;dn=gpl-2.0.txt GPL-v2 */
var searchBox = new SearchBox("searchBox", "search",false,'Search');
/* @license-end */
</script>
<script type="text/javascript" src="menudata.js"></script>
<script type="text/javascript" src="menu.js"></script>
<script type="text/javascript">
/* @license magnet:?xt=urn:btih:cf05388f2679ee054f2beb29a391d25f4e673ac3&amp;dn=gpl-2.0.txt GPL-v2 */
$(function() {
initMenu('',true,false,'search.php','Search');
$(document).ready(function() { init_search(); });
});
/* @license-end */</script>
<div id="main-nav"></div>
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
onmouseover="return searchBox.OnSearchSelectShow()"
onmouseout="return searchBox.OnSearchSelectHide()"
onkeydown="return searchBox.OnSearchSelectKey(event)">
</div>
<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0"
name="MSearchResults" id="MSearchResults">
</iframe>
</div>
<div id="nav-path" class="navpath">
<ul>
<li class="navelem"><a class="el" href="dir_ea9599923402ca8ab47fc3e495999dea.html">arch</a></li><li class="navelem"><a class="el" href="dir_9e929c73feaf15d3695ce4c76b483065.html">arm</a></li><li class="navelem"><a class="el" href="dir_58955c0f35a9c3d48181d2be53994c7b.html">SAME54</a></li><li class="navelem"><a class="el" href="dir_09e97e512ca7d4e6cd359f1c5497eeba.html">SAME54A</a></li><li class="navelem"><a class="el" href="dir_4b38d63e5c584a4d6c9001c789e1829f.html">mcu</a></li><li class="navelem"><a class="el" href="dir_d4fc57b996dc082ef023092a5b7d90fc.html">inc</a></li><li class="navelem"><a class="el" href="dir_92b117bae75cf16a05ca7611db29e9c7.html">instance</a></li> </ul>
</div>
</div><!-- top -->
<div class="header">
<div class="summary">
<a href="#define-members">Macros</a> </div>
<div class="headertitle">
<div class="title">tc2.h File Reference</div> </div>
</div><!--header-->
<div class="contents">
<p>Instance description for TC2.
<a href="#details">More...</a></p>
<p><a href="tc2_8h_source.html">Go to the source code of this file.</a></p>
<table class="memberdecls">
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="define-members"></a>
Macros</h2></td></tr>
<tr class="memitem:a602e97de147dbbf449752f7201252873"><td class="memItemLeft" align="right" valign="top"><a id="a602e97de147dbbf449752f7201252873"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a602e97de147dbbf449752f7201252873">REG_TC2_CTRLA</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A000UL)</td></tr>
<tr class="memdesc:a602e97de147dbbf449752f7201252873"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Control A <br /></td></tr>
<tr class="separator:a602e97de147dbbf449752f7201252873"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a5e199a0b6c0812b7cedb7eccc99e3860"><td class="memItemLeft" align="right" valign="top"><a id="a5e199a0b6c0812b7cedb7eccc99e3860"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a5e199a0b6c0812b7cedb7eccc99e3860">REG_TC2_CTRLBCLR</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A004UL)</td></tr>
<tr class="memdesc:a5e199a0b6c0812b7cedb7eccc99e3860"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Control B Clear <br /></td></tr>
<tr class="separator:a5e199a0b6c0812b7cedb7eccc99e3860"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ab9ad3b25bc48b6494f774a7501812cbd"><td class="memItemLeft" align="right" valign="top"><a id="ab9ad3b25bc48b6494f774a7501812cbd"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ab9ad3b25bc48b6494f774a7501812cbd">REG_TC2_CTRLBSET</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A005UL)</td></tr>
<tr class="memdesc:ab9ad3b25bc48b6494f774a7501812cbd"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Control B Set <br /></td></tr>
<tr class="separator:ab9ad3b25bc48b6494f774a7501812cbd"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ab16f699085b459ceacb143d7c94f5483"><td class="memItemLeft" align="right" valign="top"><a id="ab16f699085b459ceacb143d7c94f5483"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ab16f699085b459ceacb143d7c94f5483">REG_TC2_EVCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A006UL)</td></tr>
<tr class="memdesc:ab16f699085b459ceacb143d7c94f5483"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Event Control <br /></td></tr>
<tr class="separator:ab16f699085b459ceacb143d7c94f5483"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a9582b7fbf5107f6c27a6a1b72f16b245"><td class="memItemLeft" align="right" valign="top"><a id="a9582b7fbf5107f6c27a6a1b72f16b245"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a9582b7fbf5107f6c27a6a1b72f16b245">REG_TC2_INTENCLR</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A008UL)</td></tr>
<tr class="memdesc:a9582b7fbf5107f6c27a6a1b72f16b245"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Interrupt Enable Clear <br /></td></tr>
<tr class="separator:a9582b7fbf5107f6c27a6a1b72f16b245"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aacac77761400e95b9c25e4703e9c0318"><td class="memItemLeft" align="right" valign="top"><a id="aacac77761400e95b9c25e4703e9c0318"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#aacac77761400e95b9c25e4703e9c0318">REG_TC2_INTENSET</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A009UL)</td></tr>
<tr class="memdesc:aacac77761400e95b9c25e4703e9c0318"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Interrupt Enable Set <br /></td></tr>
<tr class="separator:aacac77761400e95b9c25e4703e9c0318"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a9a1b860bea03636894bc6107504d22b5"><td class="memItemLeft" align="right" valign="top"><a id="a9a1b860bea03636894bc6107504d22b5"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a9a1b860bea03636894bc6107504d22b5">REG_TC2_INTFLAG</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A00AUL)</td></tr>
<tr class="memdesc:a9a1b860bea03636894bc6107504d22b5"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Interrupt Flag Status and Clear <br /></td></tr>
<tr class="separator:a9a1b860bea03636894bc6107504d22b5"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a8f0af3a08313543cb63a73ebd015a66f"><td class="memItemLeft" align="right" valign="top"><a id="a8f0af3a08313543cb63a73ebd015a66f"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a8f0af3a08313543cb63a73ebd015a66f">REG_TC2_STATUS</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A00BUL)</td></tr>
<tr class="memdesc:a8f0af3a08313543cb63a73ebd015a66f"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Status <br /></td></tr>
<tr class="separator:a8f0af3a08313543cb63a73ebd015a66f"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a16591f977ec83f9106172bb32f3e2760"><td class="memItemLeft" align="right" valign="top"><a id="a16591f977ec83f9106172bb32f3e2760"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a16591f977ec83f9106172bb32f3e2760">REG_TC2_WAVE</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A00CUL)</td></tr>
<tr class="memdesc:a16591f977ec83f9106172bb32f3e2760"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Waveform Generation Control <br /></td></tr>
<tr class="separator:a16591f977ec83f9106172bb32f3e2760"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a2a23fe4fda1fa90c29b9811ea9d1fbb7"><td class="memItemLeft" align="right" valign="top"><a id="a2a23fe4fda1fa90c29b9811ea9d1fbb7"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a2a23fe4fda1fa90c29b9811ea9d1fbb7">REG_TC2_DRVCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A00DUL)</td></tr>
<tr class="memdesc:a2a23fe4fda1fa90c29b9811ea9d1fbb7"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Control C <br /></td></tr>
<tr class="separator:a2a23fe4fda1fa90c29b9811ea9d1fbb7"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aecb3b78cffbc51ce22aa967a3648c424"><td class="memItemLeft" align="right" valign="top"><a id="aecb3b78cffbc51ce22aa967a3648c424"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#aecb3b78cffbc51ce22aa967a3648c424">REG_TC2_DBGCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A00FUL)</td></tr>
<tr class="memdesc:aecb3b78cffbc51ce22aa967a3648c424"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Debug Control <br /></td></tr>
<tr class="separator:aecb3b78cffbc51ce22aa967a3648c424"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a606b017fd26e16b00fb116688a8ce117"><td class="memItemLeft" align="right" valign="top"><a id="a606b017fd26e16b00fb116688a8ce117"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a606b017fd26e16b00fb116688a8ce117">REG_TC2_SYNCBUSY</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#a5d556f8391af4141be23f7334ac9dd68">RoReg</a> *)0x4101A010UL)</td></tr>
<tr class="memdesc:a606b017fd26e16b00fb116688a8ce117"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) Synchronization Status <br /></td></tr>
<tr class="separator:a606b017fd26e16b00fb116688a8ce117"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a170972d7947b2e9af6b647abfc1ff451"><td class="memItemLeft" align="right" valign="top"><a id="a170972d7947b2e9af6b647abfc1ff451"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a170972d7947b2e9af6b647abfc1ff451">REG_TC2_COUNT16_COUNT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A014UL)</td></tr>
<tr class="memdesc:a170972d7947b2e9af6b647abfc1ff451"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT16 Count <br /></td></tr>
<tr class="separator:a170972d7947b2e9af6b647abfc1ff451"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aa7813e9429dba001efaf685d11467a3e"><td class="memItemLeft" align="right" valign="top"><a id="aa7813e9429dba001efaf685d11467a3e"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#aa7813e9429dba001efaf685d11467a3e">REG_TC2_COUNT16_CC0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A01CUL)</td></tr>
<tr class="memdesc:aa7813e9429dba001efaf685d11467a3e"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT16 Compare and Capture 0 <br /></td></tr>
<tr class="separator:aa7813e9429dba001efaf685d11467a3e"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a065a6cfa874c8b09114c369146f22a7d"><td class="memItemLeft" align="right" valign="top"><a id="a065a6cfa874c8b09114c369146f22a7d"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a065a6cfa874c8b09114c369146f22a7d">REG_TC2_COUNT16_CC1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A01EUL)</td></tr>
<tr class="memdesc:a065a6cfa874c8b09114c369146f22a7d"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT16 Compare and Capture 1 <br /></td></tr>
<tr class="separator:a065a6cfa874c8b09114c369146f22a7d"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a845b435dcd8351eb5efae7d15df12aa8"><td class="memItemLeft" align="right" valign="top"><a id="a845b435dcd8351eb5efae7d15df12aa8"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a845b435dcd8351eb5efae7d15df12aa8">REG_TC2_COUNT16_CCBUF0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A030UL)</td></tr>
<tr class="memdesc:a845b435dcd8351eb5efae7d15df12aa8"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT16 Compare and Capture Buffer 0 <br /></td></tr>
<tr class="separator:a845b435dcd8351eb5efae7d15df12aa8"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a421a47fbfee296a73e3f2279ef9f1be4"><td class="memItemLeft" align="right" valign="top"><a id="a421a47fbfee296a73e3f2279ef9f1be4"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a421a47fbfee296a73e3f2279ef9f1be4">REG_TC2_COUNT16_CCBUF1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x4101A032UL)</td></tr>
<tr class="memdesc:a421a47fbfee296a73e3f2279ef9f1be4"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT16 Compare and Capture Buffer 1 <br /></td></tr>
<tr class="separator:a421a47fbfee296a73e3f2279ef9f1be4"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a1f5b44a590a1d803c5d853ba145d5fc0"><td class="memItemLeft" align="right" valign="top"><a id="a1f5b44a590a1d803c5d853ba145d5fc0"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a1f5b44a590a1d803c5d853ba145d5fc0">REG_TC2_COUNT32_COUNT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A014UL)</td></tr>
<tr class="memdesc:a1f5b44a590a1d803c5d853ba145d5fc0"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT32 Count <br /></td></tr>
<tr class="separator:a1f5b44a590a1d803c5d853ba145d5fc0"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a9c3e8a88363b722b314259bde5c4c901"><td class="memItemLeft" align="right" valign="top"><a id="a9c3e8a88363b722b314259bde5c4c901"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a9c3e8a88363b722b314259bde5c4c901">REG_TC2_COUNT32_CC0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A01CUL)</td></tr>
<tr class="memdesc:a9c3e8a88363b722b314259bde5c4c901"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT32 Compare and Capture 0 <br /></td></tr>
<tr class="separator:a9c3e8a88363b722b314259bde5c4c901"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ac544efda8296544db6db55ff0c4b21e3"><td class="memItemLeft" align="right" valign="top"><a id="ac544efda8296544db6db55ff0c4b21e3"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ac544efda8296544db6db55ff0c4b21e3">REG_TC2_COUNT32_CC1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A020UL)</td></tr>
<tr class="memdesc:ac544efda8296544db6db55ff0c4b21e3"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT32 Compare and Capture 1 <br /></td></tr>
<tr class="separator:ac544efda8296544db6db55ff0c4b21e3"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ab9fe2757bcf6e0383b366b896b58e241"><td class="memItemLeft" align="right" valign="top"><a id="ab9fe2757bcf6e0383b366b896b58e241"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ab9fe2757bcf6e0383b366b896b58e241">REG_TC2_COUNT32_CCBUF0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A030UL)</td></tr>
<tr class="memdesc:ab9fe2757bcf6e0383b366b896b58e241"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT32 Compare and Capture Buffer 0 <br /></td></tr>
<tr class="separator:ab9fe2757bcf6e0383b366b896b58e241"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a7d91825566f7f73256a4c68ad0a7d80a"><td class="memItemLeft" align="right" valign="top"><a id="a7d91825566f7f73256a4c68ad0a7d80a"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a7d91825566f7f73256a4c68ad0a7d80a">REG_TC2_COUNT32_CCBUF1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x4101A034UL)</td></tr>
<tr class="memdesc:a7d91825566f7f73256a4c68ad0a7d80a"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT32 Compare and Capture Buffer 1 <br /></td></tr>
<tr class="separator:a7d91825566f7f73256a4c68ad0a7d80a"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a10e15b50e41ba93ead8ff3c0a7bcd144"><td class="memItemLeft" align="right" valign="top"><a id="a10e15b50e41ba93ead8ff3c0a7bcd144"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a10e15b50e41ba93ead8ff3c0a7bcd144">REG_TC2_COUNT8_COUNT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A014UL)</td></tr>
<tr class="memdesc:a10e15b50e41ba93ead8ff3c0a7bcd144"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Count <br /></td></tr>
<tr class="separator:a10e15b50e41ba93ead8ff3c0a7bcd144"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a520d8988d793f085384afae520db879e"><td class="memItemLeft" align="right" valign="top"><a id="a520d8988d793f085384afae520db879e"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a520d8988d793f085384afae520db879e">REG_TC2_COUNT8_PER</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A01BUL)</td></tr>
<tr class="memdesc:a520d8988d793f085384afae520db879e"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Period <br /></td></tr>
<tr class="separator:a520d8988d793f085384afae520db879e"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ae3c6cbad961bb9b862e08f27a5cc16a5"><td class="memItemLeft" align="right" valign="top"><a id="ae3c6cbad961bb9b862e08f27a5cc16a5"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ae3c6cbad961bb9b862e08f27a5cc16a5">REG_TC2_COUNT8_CC0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A01CUL)</td></tr>
<tr class="memdesc:ae3c6cbad961bb9b862e08f27a5cc16a5"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Compare and Capture 0 <br /></td></tr>
<tr class="separator:ae3c6cbad961bb9b862e08f27a5cc16a5"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ac2f7d868c024f149ff5271421bb2e74a"><td class="memItemLeft" align="right" valign="top"><a id="ac2f7d868c024f149ff5271421bb2e74a"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#ac2f7d868c024f149ff5271421bb2e74a">REG_TC2_COUNT8_CC1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A01DUL)</td></tr>
<tr class="memdesc:ac2f7d868c024f149ff5271421bb2e74a"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Compare and Capture 1 <br /></td></tr>
<tr class="separator:ac2f7d868c024f149ff5271421bb2e74a"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a391a085606a3d1fa46b28347ca035fe8"><td class="memItemLeft" align="right" valign="top"><a id="a391a085606a3d1fa46b28347ca035fe8"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a391a085606a3d1fa46b28347ca035fe8">REG_TC2_COUNT8_PERBUF</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A02FUL)</td></tr>
<tr class="memdesc:a391a085606a3d1fa46b28347ca035fe8"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Period Buffer <br /></td></tr>
<tr class="separator:a391a085606a3d1fa46b28347ca035fe8"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aa03aaa884b053fd83b913cfd762319b6"><td class="memItemLeft" align="right" valign="top"><a id="aa03aaa884b053fd83b913cfd762319b6"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#aa03aaa884b053fd83b913cfd762319b6">REG_TC2_COUNT8_CCBUF0</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A030UL)</td></tr>
<tr class="memdesc:aa03aaa884b053fd83b913cfd762319b6"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Compare and Capture Buffer 0 <br /></td></tr>
<tr class="separator:aa03aaa884b053fd83b913cfd762319b6"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a0fc5ff1e41d6817c64822cefb0dbb3ca"><td class="memItemLeft" align="right" valign="top"><a id="a0fc5ff1e41d6817c64822cefb0dbb3ca"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="tc2_8h.html#a0fc5ff1e41d6817c64822cefb0dbb3ca">REG_TC2_COUNT8_CCBUF1</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x4101A031UL)</td></tr>
<tr class="memdesc:a0fc5ff1e41d6817c64822cefb0dbb3ca"><td class="mdescLeft">&#160;</td><td class="mdescRight">(TC2) COUNT8 Compare and Capture Buffer 1 <br /></td></tr>
<tr class="separator:a0fc5ff1e41d6817c64822cefb0dbb3ca"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a8d0e0500d907bd7f55801fd6ba839cff"><td class="memItemLeft" align="right" valign="top"><a id="a8d0e0500d907bd7f55801fd6ba839cff"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_CC_NUM</b>&#160;&#160;&#160;2</td></tr>
<tr class="separator:a8d0e0500d907bd7f55801fd6ba839cff"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a725158b40a6e76c7c9ef0f0ef4ada86b"><td class="memItemLeft" align="right" valign="top"><a id="a725158b40a6e76c7c9ef0f0ef4ada86b"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_MC_0</b>&#160;&#160;&#160;51</td></tr>
<tr class="separator:a725158b40a6e76c7c9ef0f0ef4ada86b"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a0acec8faa0608ef55ca2436d4ea9a9ff"><td class="memItemLeft" align="right" valign="top"><a id="a0acec8faa0608ef55ca2436d4ea9a9ff"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_MC_1</b>&#160;&#160;&#160;52</td></tr>
<tr class="separator:a0acec8faa0608ef55ca2436d4ea9a9ff"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a3b9e63945f509e36bc82bd58d9d40eb8"><td class="memItemLeft" align="right" valign="top"><a id="a3b9e63945f509e36bc82bd58d9d40eb8"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_MC_LSB</b>&#160;&#160;&#160;51</td></tr>
<tr class="separator:a3b9e63945f509e36bc82bd58d9d40eb8"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aebdb61c0742abdf753a337ab3a7ca112"><td class="memItemLeft" align="right" valign="top"><a id="aebdb61c0742abdf753a337ab3a7ca112"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_MC_MSB</b>&#160;&#160;&#160;52</td></tr>
<tr class="separator:aebdb61c0742abdf753a337ab3a7ca112"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ae7f779e9d59818795366ee43c67a4c11"><td class="memItemLeft" align="right" valign="top"><a id="ae7f779e9d59818795366ee43c67a4c11"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_MC_SIZE</b>&#160;&#160;&#160;2</td></tr>
<tr class="separator:ae7f779e9d59818795366ee43c67a4c11"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a4164551dbf2274ffbd572d3197901b48"><td class="memItemLeft" align="right" valign="top"><a id="a4164551dbf2274ffbd572d3197901b48"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_DMAC_ID_OVF</b>&#160;&#160;&#160;50</td></tr>
<tr class="separator:a4164551dbf2274ffbd572d3197901b48"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a4f1222663a2faf02929f7050b87eb94e"><td class="memItemLeft" align="right" valign="top"><a id="a4f1222663a2faf02929f7050b87eb94e"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_EXT</b>&#160;&#160;&#160;0</td></tr>
<tr class="separator:a4f1222663a2faf02929f7050b87eb94e"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a46b62ed6693514ca80c8403cc1b9ec32"><td class="memItemLeft" align="right" valign="top"><a id="a46b62ed6693514ca80c8403cc1b9ec32"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_GCLK_ID</b>&#160;&#160;&#160;26</td></tr>
<tr class="separator:a46b62ed6693514ca80c8403cc1b9ec32"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a0549a6fc7b0e172469279bd03f4e2d95"><td class="memItemLeft" align="right" valign="top"><a id="a0549a6fc7b0e172469279bd03f4e2d95"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_MASTER_SLAVE_MODE</b>&#160;&#160;&#160;1</td></tr>
<tr class="separator:a0549a6fc7b0e172469279bd03f4e2d95"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a73cb08ef75b6dd9eb0fd9ec5b468de3d"><td class="memItemLeft" align="right" valign="top"><a id="a73cb08ef75b6dd9eb0fd9ec5b468de3d"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>TC2_OW_NUM</b>&#160;&#160;&#160;2</td></tr>
<tr class="separator:a73cb08ef75b6dd9eb0fd9ec5b468de3d"><td class="memSeparator" colspan="2">&#160;</td></tr>
</table>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<div class="textblock"><p>Instance description for TC2. </p>
<p>Copyright (c) 2019 Microchip Technology Inc.</p>
<p>\asf_license_start </p>
<p class="definition">Definition in file <a class="el" href="tc2_8h_source.html">tc2.h</a>.</p>
</div></div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated by&#160;<a href="http://www.doxygen.org/index.html"><img class="footer" src="doxygen.svg" width="104" height="31" alt="doxygen"/></a> 1.8.20
</small></address>
</body>
</html>