You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

244 lines
39 KiB
JavaScript

var searchData=
[
['mag_5458',['MAG',['../unionGMAC__WOL__Type.html#ae7a214a58a64bf6716f7e1fe97044297',1,'GMAC_WOL_Type']]],
['main_2ec_5459',['main.c',['../main_8c.html',1,'']]],
['maint0_5460',['MAINT0',['../structCmcc.html#aa33ce67fcc1e3cb34a8bff05455be247',1,'Cmcc']]],
['maint1_5461',['MAINT1',['../structCmcc.html#a76bb07832821d65765bbddecd48b047f',1,'Cmcc']]],
['man_5462',['MAN',['../structGmac.html#a996630e20e130021c0273162eb90d32b',1,'Gmac']]],
['mapuexcept_5463',['MAPUEXCEPT',['../unionPICOP__CTRL__Type.html#afa4a4be35f5c57ea71bba33b19d5dc36',1,'PICOP_CTRL_Type']]],
['marret_5464',['MARRET',['../unionPICOP__CONFIG__Type.html#a81d3a03e6627dfa7bc9f97ede14666e3',1,'PICOP_CONFIG_Type']]],
['mask_5465',['MASK',['../unionRTC__MODE2__SYNCBUSY__Type.html#a96b30bc43321b3d6723da728f7fb1392',1,'RTC_MODE2_SYNCBUSY_Type::MASK()'],['../structRtcMode2Alarm.html#a3e9f8006b7ca5afd9fc6c381e78de32b',1,'RtcMode2Alarm::MASK()']]],
['mask0_5466',['MASK0',['../unionRTC__MODE2__SYNCBUSY__Type.html#aa73faa1d59be63978b1f4d490e295ec9',1,'RTC_MODE2_SYNCBUSY_Type']]],
['mask1_5467',['MASK1',['../unionRTC__MODE2__SYNCBUSY__Type.html#a654ebd32026fadceda0315f3a4002aba',1,'RTC_MODE2_SYNCBUSY_Type']]],
['matchclr_5468',['MATCHCLR',['../unionRTC__MODE0__CTRLA__Type.html#a2d913873fdc6b559e777b3c421f030e5',1,'RTC_MODE0_CTRLA_Type::MATCHCLR()'],['../unionRTC__MODE2__CTRLA__Type.html#ae9d2bdf84f758d296e3399223182d2b2',1,'RTC_MODE2_CTRLA_Type::MATCHCLR()']]],
['maxblkl_5469',['MAXBLKL',['../unionSDHC__CA0R__Type.html#a86354752832c59a455bc062a791faea8',1,'SDHC_CA0R_Type']]],
['maxcmp_5470',['MAXCMP',['../unionPDEC__CTRLA__Type.html#add201539601b478030ef95fcecf34dd5',1,'PDEC_CTRLA_Type']]],
['maxcur18v_5471',['MAXCUR18V',['../unionSDHC__MCCAR__Type.html#a9fc09f2acc9f743526bc4581cfc1b03c',1,'SDHC_MCCAR_Type']]],
['maxcur30v_5472',['MAXCUR30V',['../unionSDHC__MCCAR__Type.html#acc735263088130ed8cb53825a6711c26',1,'SDHC_MCCAR_Type']]],
['maxcur33v_5473',['MAXCUR33V',['../unionSDHC__MCCAR__Type.html#ac9a7e66730ba066d57993be5bbab2179',1,'SDHC_MCCAR_Type']]],
['maxfs_5474',['MAXFS',['../unionGMAC__NCFGR__Type.html#a5bf5d6a840a85cd1eeb6a264491fc84f',1,'GMAC_NCFGR_Type']]],
['maxiter_5475',['MAXITER',['../unionSERCOM__USART__CTRLC__Type.html#abf619f85059c58a9a2e509622bc49d04',1,'SERCOM_USART_CTRLC_Type']]],
['mb_5476',['MB',['../unionSERCOM__I2CM__INTENCLR__Type.html#a9ef4edf2cd19427cf7b3059430f6351b',1,'SERCOM_I2CM_INTENCLR_Type::MB()'],['../unionSERCOM__I2CM__INTENSET__Type.html#a653b98b3ceb66d55d57b8474014aada2',1,'SERCOM_I2CM_INTENSET_Type::MB()'],['../unionSERCOM__I2CM__INTFLAG__Type.html#a336760053ed0a03cff15e4b3582dce3c',1,'SERCOM_I2CM_INTFLAG_Type::MB()']]],
['mbist_5477',['MBIST',['../unionDSU__CTRL__Type.html#a0b11d4226d2417422ef909e10ed17bc9',1,'DSU_CTRL_Type']]],
['mc_5478',['MC',['../unionPDEC__INTENCLR__Type.html#acb55227447926accad81bc2f8b053e92',1,'PDEC_INTENCLR_Type::MC()'],['../unionPDEC__INTENSET__Type.html#ad8a95c127da961c32a47f201bc8a41fa',1,'PDEC_INTENSET_Type::MC()'],['../unionPDEC__INTFLAG__Type.html#a7314d3723063fece0ce804bff2e9a9f0',1,'PDEC_INTFLAG_Type::MC()'],['../unionTC__INTENCLR__Type.html#a435e4cb22b1cfea875b81e1d060f9aeb',1,'TC_INTENCLR_Type::MC()'],['../unionTC__INTENSET__Type.html#a618f24b2152f836d265157facec84ce0',1,'TC_INTENSET_Type::MC()'],['../unionTC__INTFLAG__Type.html#abcf0bb12daf3880c74765780d339fe1a',1,'TC_INTFLAG_Type::MC()'],['../unionTCC__INTENCLR__Type.html#a3115b7d0a309a1eb888fa8eee3e89587',1,'TCC_INTENCLR_Type::MC()'],['../unionTCC__INTENSET__Type.html#ab9bcab889ef30bb96044aabab376e767',1,'TCC_INTENSET_Type::MC()'],['../unionTCC__INTFLAG__Type.html#a2d8b65c238589154684809ce37a65e9b',1,'TCC_INTFLAG_Type::MC()']]],
['mc0_5479',['MC0',['../unionPDEC__INTENCLR__Type.html#ae3be344cb563bc45746c4625b42c3fc1',1,'PDEC_INTENCLR_Type::MC0()'],['../unionPDEC__INTENSET__Type.html#a52ca664c0df1b6f5c548fdbb9b7a97da',1,'PDEC_INTENSET_Type::MC0()'],['../unionPDEC__INTFLAG__Type.html#a02f55a909c0c7c2545370b26737cb5f7',1,'PDEC_INTFLAG_Type::MC0()'],['../unionTC__INTENCLR__Type.html#ac3bce0f18fdc48960b8cb9a59f43897d',1,'TC_INTENCLR_Type::MC0()'],['../unionTC__INTENSET__Type.html#a5d2f643da9ab8e4babbcf5b37e33d95e',1,'TC_INTENSET_Type::MC0()'],['../unionTC__INTFLAG__Type.html#a3051c051d8c9a1841f93b398e15715de',1,'TC_INTFLAG_Type::MC0()'],['../unionTCC__INTENCLR__Type.html#aed23b70b82b1fb9b47583387bafa4b38',1,'TCC_INTENCLR_Type::MC0()'],['../unionTCC__INTENSET__Type.html#a156394693aaae0d2623aebd213daae6f',1,'TCC_INTENSET_Type::MC0()'],['../unionTCC__INTFLAG__Type.html#a8b3443e0e2fae5344ee2d6b0fbff15e8',1,'TCC_INTFLAG_Type::MC0()']]],
['mc1_5480',['MC1',['../unionPDEC__INTENCLR__Type.html#a215dbae3b32d69108e5a312be3ec2c89',1,'PDEC_INTENCLR_Type::MC1()'],['../unionPDEC__INTENSET__Type.html#ab38fcba7b0aa0cf1c8117235faf13c5f',1,'PDEC_INTENSET_Type::MC1()'],['../unionPDEC__INTFLAG__Type.html#a8f5b9f6b0aeead92bcf554506debdde8',1,'PDEC_INTFLAG_Type::MC1()'],['../unionTC__INTENCLR__Type.html#a4cef0975ae53be099c646b5cab414ce2',1,'TC_INTENCLR_Type::MC1()'],['../unionTC__INTENSET__Type.html#abdb3b3352fdac1174dd944a99c6faabe',1,'TC_INTENSET_Type::MC1()'],['../unionTC__INTFLAG__Type.html#aa34d4b32afa47a0e539676998eeac8b2',1,'TC_INTFLAG_Type::MC1()'],['../unionTCC__INTENCLR__Type.html#a5d8a106a385ab3b34a4c0d891fe45090',1,'TCC_INTENCLR_Type::MC1()'],['../unionTCC__INTENSET__Type.html#ac7bad345418f1dea90599b6e498483ca',1,'TCC_INTENSET_Type::MC1()'],['../unionTCC__INTFLAG__Type.html#a9e29270d728143461b7e1a6dd7519c9c',1,'TCC_INTFLAG_Type::MC1()']]],
['mc1r_5481',['MC1R',['../structSdhc.html#ad825e5d75852893a9591060235dea33d',1,'Sdhc']]],
['mc2_5482',['MC2',['../unionTCC__INTENCLR__Type.html#a2d8932501daab5264124bbbd22a58c6b',1,'TCC_INTENCLR_Type::MC2()'],['../unionTCC__INTENSET__Type.html#a4aa8f3e6e03e2596403740dab34b38b3',1,'TCC_INTENSET_Type::MC2()'],['../unionTCC__INTFLAG__Type.html#a29f0e9a3ebefc0245d2e84d532e7d4e1',1,'TCC_INTFLAG_Type::MC2()']]],
['mc2r_5483',['MC2R',['../structSdhc.html#a7f7b113ddba11bcd5d6c66283a06a616',1,'Sdhc']]],
['mc3_5484',['MC3',['../unionTCC__INTENCLR__Type.html#a163d420f51e19cf42ad557c4e0f8443c',1,'TCC_INTENCLR_Type::MC3()'],['../unionTCC__INTENSET__Type.html#a8e5660ab399865238c54a3df3cdef29b',1,'TCC_INTENSET_Type::MC3()'],['../unionTCC__INTFLAG__Type.html#a936eff8f31a141af051ef9c2f081e07e',1,'TCC_INTFLAG_Type::MC3()']]],
['mc4_5485',['MC4',['../unionTCC__INTENCLR__Type.html#af55fdf9fb5b2e27d16ccb15cb4769cff',1,'TCC_INTENCLR_Type::MC4()'],['../unionTCC__INTENSET__Type.html#af2ae569829d9d06a9fcc1937e08030ac',1,'TCC_INTENSET_Type::MC4()'],['../unionTCC__INTFLAG__Type.html#ac6fed58a8cb84e3ef3a6261e600249df',1,'TCC_INTFLAG_Type::MC4()']]],
['mc5_5486',['MC5',['../unionTCC__INTENCLR__Type.html#aa47eb6f213a55cac4dee8d0cda1e36a5',1,'TCC_INTENCLR_Type::MC5()'],['../unionTCC__INTENSET__Type.html#a89d1bb2cd9d533c4994475844fcdc114',1,'TCC_INTENSET_Type::MC5()'],['../unionTCC__INTFLAG__Type.html#add5cd537ca2c105dd5ad100268c3728d',1,'TCC_INTFLAG_Type::MC5()']]],
['mccar_5487',['MCCAR',['../structSdhc.html#afff46b235f0390e6b361b0ac08c4131a',1,'Sdhc']]],
['mcei_5488',['MCEI',['../unionTCC__EVCTRL__Type.html#aac02140d5d9dd26e767abd5e2f20642a',1,'TCC_EVCTRL_Type']]],
['mcei0_5489',['MCEI0',['../unionTCC__EVCTRL__Type.html#abfdd37dfbf186303413125f2b1eb5e3e',1,'TCC_EVCTRL_Type']]],
['mcei1_5490',['MCEI1',['../unionTCC__EVCTRL__Type.html#a0e2b8d1907fecb9e45d5fd63b2232f4a',1,'TCC_EVCTRL_Type']]],
['mcei2_5491',['MCEI2',['../unionTCC__EVCTRL__Type.html#ae486dd866460b5f624a3d1d5f59a6c6c',1,'TCC_EVCTRL_Type']]],
['mcei3_5492',['MCEI3',['../unionTCC__EVCTRL__Type.html#a11d03906c2c2e764aab96c00e9492213',1,'TCC_EVCTRL_Type']]],
['mcei4_5493',['MCEI4',['../unionTCC__EVCTRL__Type.html#a295ac0ed64bd90785d984cf51c7f9f30',1,'TCC_EVCTRL_Type']]],
['mcei5_5494',['MCEI5',['../unionTCC__EVCTRL__Type.html#a58f296f2ab7f5560c24fa8931e49e655',1,'TCC_EVCTRL_Type']]],
['mceo_5495',['MCEO',['../unionPDEC__EVCTRL__Type.html#aaf6b59f62cdca6411a084873d2e0f456',1,'PDEC_EVCTRL_Type::MCEO()'],['../unionTC__EVCTRL__Type.html#a8dcd433a01d6524d01444d6acfa50ebf',1,'TC_EVCTRL_Type::MCEO()'],['../unionTCC__EVCTRL__Type.html#ad7867cc2c0dfeac1e63cc5c03eb387cd',1,'TCC_EVCTRL_Type::MCEO()']]],
['mceo0_5496',['MCEO0',['../unionPDEC__EVCTRL__Type.html#a36aabaf7d4fcf3dcdc76c8cce6199eb8',1,'PDEC_EVCTRL_Type::MCEO0()'],['../unionTC__EVCTRL__Type.html#aa46366f771b15a087e965df07dd76a8c',1,'TC_EVCTRL_Type::MCEO0()'],['../unionTCC__EVCTRL__Type.html#afa3ac80cf324c04ec5d68cfa117a8b81',1,'TCC_EVCTRL_Type::MCEO0()']]],
['mceo1_5497',['MCEO1',['../unionPDEC__EVCTRL__Type.html#a21130feb1fe6135ff956f2777701a47d',1,'PDEC_EVCTRL_Type::MCEO1()'],['../unionTC__EVCTRL__Type.html#a8ec95d3e42528187b2b9da3f4db4857b',1,'TC_EVCTRL_Type::MCEO1()'],['../unionTCC__EVCTRL__Type.html#a563f03afa699cb8029613548cb10d213',1,'TCC_EVCTRL_Type::MCEO1()']]],
['mceo2_5498',['MCEO2',['../unionTCC__EVCTRL__Type.html#abfc33a94a62d8aa02849ca770b8558dd',1,'TCC_EVCTRL_Type']]],
['mceo3_5499',['MCEO3',['../unionTCC__EVCTRL__Type.html#a3ad5ac4cda06e19d725c6f43c2661f6e',1,'TCC_EVCTRL_Type']]],
['mceo4_5500',['MCEO4',['../unionTCC__EVCTRL__Type.html#aa334ad827cd39423292cee6f1138beaa',1,'TCC_EVCTRL_Type']]],
['mceo5_5501',['MCEO5',['../unionTCC__EVCTRL__Type.html#a3b0e168393337e367ead98b56592f9c3',1,'TCC_EVCTRL_Type']]],
['mcf_5502',['MCF',['../structGmac.html#a82f49bbba196b7c879e8df4f7bed9a04',1,'Gmac']]],
['mcfg_5503',['MCFG',['../structCmcc.html#a1304e940b4556471b4a722b67b165a8b',1,'Cmcc']]],
['mckdiv_5504',['MCKDIV',['../unionI2S__CLKCTRL__Type.html#a8554d0ed509ed66ffd0805b4e6fef8ec',1,'I2S_CLKCTRL_Type']]],
['mcken_5505',['MCKEN',['../unionI2S__CLKCTRL__Type.html#a1d649efc7bf50df4a086a3f50f6f9622',1,'I2S_CLKCTRL_Type']]],
['mckoutdiv_5506',['MCKOUTDIV',['../unionI2S__CLKCTRL__Type.html#a39a27f504a2d3fccb6c99256ed503bad',1,'I2S_CLKCTRL_Type']]],
['mckoutinv_5507',['MCKOUTINV',['../unionI2S__CLKCTRL__Type.html#a36287a0c836b016a982812315d96efb1',1,'I2S_CLKCTRL_Type']]],
['mcksel_5508',['MCKSEL',['../unionI2S__CLKCTRL__Type.html#a9bad3367378b1be0a091b020a97cdb90',1,'I2S_CLKCTRL_Type']]],
['mclk_5509',['Mclk',['../structMclk.html',1,'Mclk'],['../same54n19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK(): same54n19a.h'],['../same54n20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK(): same54n20a.h'],['../same54p19a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK(): same54p19a.h'],['../same54p20a_8h.html#a625e6fdb4c2120fc163e1b04178af3dd',1,'MCLK(): same54p20a.h']]],
['mclk_2eh_5510',['mclk.h',['../component_2mclk_8h.html',1,'(Global Namespace)'],['../instance_2mclk_8h.html',1,'(Global Namespace)']]],
['mclk_5f_5511',['MCLK_',['../unionMCLK__APBAMASK__Type.html#ab1f2baed5b9ebd2303153ebcbe697d66',1,'MCLK_APBAMASK_Type::MCLK_()'],['../unionPAC__INTFLAGA__Type.html#aab517d8db3c0e8ae6175f01fa838771a',1,'PAC_INTFLAGA_Type::MCLK_()'],['../unionPAC__STATUSA__Type.html#a56c3d6356e4728df39cdf842cd3f5883',1,'PAC_STATUSA_Type::MCLK_()']]],
['mclk_5fahbmask_5fbkupram_5fpos_5512',['MCLK_AHBMASK_BKUPRAM_Pos',['../component_2mclk_8h.html#a51f341be2cd16552a79406a3df9fad31',1,'mclk.h']]],
['mclk_5fahbmask_5fcan0_5fpos_5513',['MCLK_AHBMASK_CAN0_Pos',['../component_2mclk_8h.html#ad5d5cfafc70ebe598b651e3496fcd4a4',1,'mclk.h']]],
['mclk_5fahbmask_5fcan1_5fpos_5514',['MCLK_AHBMASK_CAN1_Pos',['../component_2mclk_8h.html#a361fe28b52db9c858de0926bc72b5985',1,'mclk.h']]],
['mclk_5fahbmask_5fcmcc_5fpos_5515',['MCLK_AHBMASK_CMCC_Pos',['../component_2mclk_8h.html#a5114ee4fb624a1109ca8a35533050c91',1,'mclk.h']]],
['mclk_5fahbmask_5fdmac_5fpos_5516',['MCLK_AHBMASK_DMAC_Pos',['../component_2mclk_8h.html#a9777b9f4e5c6f15597d5d0f3c284a638',1,'mclk.h']]],
['mclk_5fahbmask_5fdsu_5fpos_5517',['MCLK_AHBMASK_DSU_Pos',['../component_2mclk_8h.html#af25c239a7914145d262614e4cea537b3',1,'mclk.h']]],
['mclk_5fahbmask_5fgmac_5fpos_5518',['MCLK_AHBMASK_GMAC_Pos',['../component_2mclk_8h.html#a90a6e6c304da25718d5535909d7765f9',1,'mclk.h']]],
['mclk_5fahbmask_5fhmatrix_5fpos_5519',['MCLK_AHBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#a0c382b9b2b318a564cf9769941fa7373',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb0_5fpos_5520',['MCLK_AHBMASK_HPB0_Pos',['../component_2mclk_8h.html#aab73df1970914c738e069aa3f44343f7',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb1_5fpos_5521',['MCLK_AHBMASK_HPB1_Pos',['../component_2mclk_8h.html#ad500245362fc27f25dbd1cd872403db5',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb2_5fpos_5522',['MCLK_AHBMASK_HPB2_Pos',['../component_2mclk_8h.html#ad85e221b80ccea1b2fb40ccd57361831',1,'mclk.h']]],
['mclk_5fahbmask_5fhpb3_5fpos_5523',['MCLK_AHBMASK_HPB3_Pos',['../component_2mclk_8h.html#a0fde493e6b6f196f2f5097f561c77fa3',1,'mclk.h']]],
['mclk_5fahbmask_5fhsram_5fpos_5524',['MCLK_AHBMASK_HSRAM_Pos',['../component_2mclk_8h.html#a3a610cdaf2a0676797625dee122829f3',1,'mclk.h']]],
['mclk_5fahbmask_5ficm_5fpos_5525',['MCLK_AHBMASK_ICM_Pos',['../component_2mclk_8h.html#a96dc631a8955ba6a803b4a7e0f45456e',1,'mclk.h']]],
['mclk_5fahbmask_5fmask_5526',['MCLK_AHBMASK_MASK',['../component_2mclk_8h.html#a4ff9567101bdb1ceefebec27f64d817f',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fcache_5fpos_5527',['MCLK_AHBMASK_NVMCTRL_CACHE_Pos',['../component_2mclk_8h.html#a433eb3bd2eac386d68dadbeb476c412b',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fpos_5528',['MCLK_AHBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a81becb828c915d5aac7686148213cb7a',1,'mclk.h']]],
['mclk_5fahbmask_5fnvmctrl_5fsmeeprom_5fpos_5529',['MCLK_AHBMASK_NVMCTRL_SMEEPROM_Pos',['../component_2mclk_8h.html#a893beae0d8a30df0cac8ac289280926a',1,'mclk.h']]],
['mclk_5fahbmask_5foffset_5530',['MCLK_AHBMASK_OFFSET',['../component_2mclk_8h.html#af60da772fd92f5ac2d24529a4edd5bcf',1,'mclk.h']]],
['mclk_5fahbmask_5fpac_5fpos_5531',['MCLK_AHBMASK_PAC_Pos',['../component_2mclk_8h.html#a25f30a1fcd43fb869b5594496df8d1f1',1,'mclk.h']]],
['mclk_5fahbmask_5fpukcc_5fpos_5532',['MCLK_AHBMASK_PUKCC_Pos',['../component_2mclk_8h.html#aced4a82f31a9b059bc0ce0372302cfc9',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5f2x_5fpos_5533',['MCLK_AHBMASK_QSPI_2X_Pos',['../component_2mclk_8h.html#addc4877eff69e76ab70162f511744c0b',1,'mclk.h']]],
['mclk_5fahbmask_5fqspi_5fpos_5534',['MCLK_AHBMASK_QSPI_Pos',['../component_2mclk_8h.html#aadc7e0a4d4a8f204ff028e09185a6dbc',1,'mclk.h']]],
['mclk_5fahbmask_5fresetvalue_5535',['MCLK_AHBMASK_RESETVALUE',['../component_2mclk_8h.html#a7416e817fc3532e446cfae94a314c2c1',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc0_5fpos_5536',['MCLK_AHBMASK_SDHC0_Pos',['../component_2mclk_8h.html#a075eb14056d8b7fedd243795408bf542',1,'mclk.h']]],
['mclk_5fahbmask_5fsdhc1_5fpos_5537',['MCLK_AHBMASK_SDHC1_Pos',['../component_2mclk_8h.html#a33f14b34d3d4622e115b84d46bb9207a',1,'mclk.h']]],
['mclk_5fahbmask_5ftype_5538',['MCLK_AHBMASK_Type',['../unionMCLK__AHBMASK__Type.html',1,'']]],
['mclk_5fahbmask_5fusb_5fpos_5539',['MCLK_AHBMASK_USB_Pos',['../component_2mclk_8h.html#a58c4b1c4ee77bdcf758d0e74ec5b5abc',1,'mclk.h']]],
['mclk_5fapbamask_5feic_5fpos_5540',['MCLK_APBAMASK_EIC_Pos',['../component_2mclk_8h.html#a5071841327f5691fad5aaa64928723b4',1,'mclk.h']]],
['mclk_5fapbamask_5ffreqm_5fpos_5541',['MCLK_APBAMASK_FREQM_Pos',['../component_2mclk_8h.html#acf54f7ce88550526bfc9800583a180ae',1,'mclk.h']]],
['mclk_5fapbamask_5fgclk_5fpos_5542',['MCLK_APBAMASK_GCLK_Pos',['../component_2mclk_8h.html#a5fb09fb3c0885c6bf234d8021344b40b',1,'mclk.h']]],
['mclk_5fapbamask_5fmask_5543',['MCLK_APBAMASK_MASK',['../component_2mclk_8h.html#a85274982e658543846410257d8741368',1,'mclk.h']]],
['mclk_5fapbamask_5fmclk_5fpos_5544',['MCLK_APBAMASK_MCLK_Pos',['../component_2mclk_8h.html#a0db8d768734ebf5b9b0c4b32fff4ad02',1,'mclk.h']]],
['mclk_5fapbamask_5foffset_5545',['MCLK_APBAMASK_OFFSET',['../component_2mclk_8h.html#a0c6c90fc226352932e5d81fb51efebc0',1,'mclk.h']]],
['mclk_5fapbamask_5fosc32kctrl_5fpos_5546',['MCLK_APBAMASK_OSC32KCTRL_Pos',['../component_2mclk_8h.html#ac13c0587fd456465accd0efc6e769413',1,'mclk.h']]],
['mclk_5fapbamask_5foscctrl_5fpos_5547',['MCLK_APBAMASK_OSCCTRL_Pos',['../component_2mclk_8h.html#ac3c888b7b8de3be9e61afcf2aff6dff2',1,'mclk.h']]],
['mclk_5fapbamask_5fpac_5fpos_5548',['MCLK_APBAMASK_PAC_Pos',['../component_2mclk_8h.html#a961dc42788c4447477d905b3fa27c039',1,'mclk.h']]],
['mclk_5fapbamask_5fpm_5fpos_5549',['MCLK_APBAMASK_PM_Pos',['../component_2mclk_8h.html#a04293b17854c4a2862117cb1e92e90d9',1,'mclk.h']]],
['mclk_5fapbamask_5fresetvalue_5550',['MCLK_APBAMASK_RESETVALUE',['../component_2mclk_8h.html#a3d96d2b490df3f3164791e72baeabe60',1,'mclk.h']]],
['mclk_5fapbamask_5frstc_5fpos_5551',['MCLK_APBAMASK_RSTC_Pos',['../component_2mclk_8h.html#a23015f90db0192052e8d0a971684fa6e',1,'mclk.h']]],
['mclk_5fapbamask_5frtc_5fpos_5552',['MCLK_APBAMASK_RTC_Pos',['../component_2mclk_8h.html#a29bcfd311e7858237139c52fa164728d',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom0_5fpos_5553',['MCLK_APBAMASK_SERCOM0_Pos',['../component_2mclk_8h.html#a51a1701f5148614ae7068e73e4fa46df',1,'mclk.h']]],
['mclk_5fapbamask_5fsercom1_5fpos_5554',['MCLK_APBAMASK_SERCOM1_Pos',['../component_2mclk_8h.html#ad7c8b0f983278890160b98943182947b',1,'mclk.h']]],
['mclk_5fapbamask_5fsupc_5fpos_5555',['MCLK_APBAMASK_SUPC_Pos',['../component_2mclk_8h.html#a9482e51359fc581f7c605977eb3685c5',1,'mclk.h']]],
['mclk_5fapbamask_5ftc0_5fpos_5556',['MCLK_APBAMASK_TC0_Pos',['../component_2mclk_8h.html#adafd8598fbe6998d741a4db76ae10819',1,'mclk.h']]],
['mclk_5fapbamask_5ftc1_5fpos_5557',['MCLK_APBAMASK_TC1_Pos',['../component_2mclk_8h.html#aadeb489d48264708c10f7d267b8a5365',1,'mclk.h']]],
['mclk_5fapbamask_5ftype_5558',['MCLK_APBAMASK_Type',['../unionMCLK__APBAMASK__Type.html',1,'']]],
['mclk_5fapbamask_5fwdt_5fpos_5559',['MCLK_APBAMASK_WDT_Pos',['../component_2mclk_8h.html#a65b51937fbcdf1a5d7418bbfd0cb3a4e',1,'mclk.h']]],
['mclk_5fapbbmask_5fdsu_5fpos_5560',['MCLK_APBBMASK_DSU_Pos',['../component_2mclk_8h.html#a04d6d13ad8ea21c5493c88e22b64900b',1,'mclk.h']]],
['mclk_5fapbbmask_5fevsys_5fpos_5561',['MCLK_APBBMASK_EVSYS_Pos',['../component_2mclk_8h.html#acfef8c4d439e6c9c2474a3dba130a9ee',1,'mclk.h']]],
['mclk_5fapbbmask_5fhmatrix_5fpos_5562',['MCLK_APBBMASK_HMATRIX_Pos',['../component_2mclk_8h.html#ac9b7b578f7a12e80c52cb5f536ffbfc6',1,'mclk.h']]],
['mclk_5fapbbmask_5fmask_5563',['MCLK_APBBMASK_MASK',['../component_2mclk_8h.html#a17175a488d06a4b52abab2d654660456',1,'mclk.h']]],
['mclk_5fapbbmask_5fnvmctrl_5fpos_5564',['MCLK_APBBMASK_NVMCTRL_Pos',['../component_2mclk_8h.html#a00e3c7c7c317a8a1a2e828ed35e38993',1,'mclk.h']]],
['mclk_5fapbbmask_5foffset_5565',['MCLK_APBBMASK_OFFSET',['../component_2mclk_8h.html#a8c35b6e1cf5ad6b7de3361646bc0510f',1,'mclk.h']]],
['mclk_5fapbbmask_5fport_5fpos_5566',['MCLK_APBBMASK_PORT_Pos',['../component_2mclk_8h.html#ae3ed73b717df511384b9192f5ff8a411',1,'mclk.h']]],
['mclk_5fapbbmask_5framecc_5fpos_5567',['MCLK_APBBMASK_RAMECC_Pos',['../component_2mclk_8h.html#a7a77772f140e3aeb2d58634ec4b1b206',1,'mclk.h']]],
['mclk_5fapbbmask_5fresetvalue_5568',['MCLK_APBBMASK_RESETVALUE',['../component_2mclk_8h.html#a7784f1cdd1314b91b3004070e1fc4b8b',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom2_5fpos_5569',['MCLK_APBBMASK_SERCOM2_Pos',['../component_2mclk_8h.html#ae601a75e252448b9b3ac8b7976bc06b4',1,'mclk.h']]],
['mclk_5fapbbmask_5fsercom3_5fpos_5570',['MCLK_APBBMASK_SERCOM3_Pos',['../component_2mclk_8h.html#a79d8ab6fd56bcdd7e7b512b076b86846',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc2_5fpos_5571',['MCLK_APBBMASK_TC2_Pos',['../component_2mclk_8h.html#acf6f153dbd6855a2250546f855a8c781',1,'mclk.h']]],
['mclk_5fapbbmask_5ftc3_5fpos_5572',['MCLK_APBBMASK_TC3_Pos',['../component_2mclk_8h.html#a7365f0e61af5bf7f97d074e8f3987d54',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc0_5fpos_5573',['MCLK_APBBMASK_TCC0_Pos',['../component_2mclk_8h.html#a49d92e93709a54e3d7d92f7c14a9cec1',1,'mclk.h']]],
['mclk_5fapbbmask_5ftcc1_5fpos_5574',['MCLK_APBBMASK_TCC1_Pos',['../component_2mclk_8h.html#a481a03f2e4cace02a94025c9e0790da5',1,'mclk.h']]],
['mclk_5fapbbmask_5ftype_5575',['MCLK_APBBMASK_Type',['../unionMCLK__APBBMASK__Type.html',1,'']]],
['mclk_5fapbbmask_5fusb_5fpos_5576',['MCLK_APBBMASK_USB_Pos',['../component_2mclk_8h.html#af21d2c3cd0e5edba8c2ad9db99d97a37',1,'mclk.h']]],
['mclk_5fapbcmask_5fac_5fpos_5577',['MCLK_APBCMASK_AC_Pos',['../component_2mclk_8h.html#ae827c5e608f07904b393f4d5fcff1e48',1,'mclk.h']]],
['mclk_5fapbcmask_5faes_5fpos_5578',['MCLK_APBCMASK_AES_Pos',['../component_2mclk_8h.html#afe03bc583599946038f7e2de91be340c',1,'mclk.h']]],
['mclk_5fapbcmask_5fccl_5fpos_5579',['MCLK_APBCMASK_CCL_Pos',['../component_2mclk_8h.html#a4759ecc97b02d665427b2cb1a198e827',1,'mclk.h']]],
['mclk_5fapbcmask_5fgmac_5fpos_5580',['MCLK_APBCMASK_GMAC_Pos',['../component_2mclk_8h.html#aef22e33ee071b1ab1e044ebfec61ecc3',1,'mclk.h']]],
['mclk_5fapbcmask_5ficm_5fpos_5581',['MCLK_APBCMASK_ICM_Pos',['../component_2mclk_8h.html#ac1c36e384d318f08af381e7cf4e0b0f4',1,'mclk.h']]],
['mclk_5fapbcmask_5fmask_5582',['MCLK_APBCMASK_MASK',['../component_2mclk_8h.html#aecd8f6d7a986889c2f43a65a506bd42e',1,'mclk.h']]],
['mclk_5fapbcmask_5foffset_5583',['MCLK_APBCMASK_OFFSET',['../component_2mclk_8h.html#a9adc77e1bade5beba117c02998b80574',1,'mclk.h']]],
['mclk_5fapbcmask_5fpdec_5fpos_5584',['MCLK_APBCMASK_PDEC_Pos',['../component_2mclk_8h.html#a18d7a4cb62e3d42e28bb3d1824cf8898',1,'mclk.h']]],
['mclk_5fapbcmask_5fqspi_5fpos_5585',['MCLK_APBCMASK_QSPI_Pos',['../component_2mclk_8h.html#ab7812fa73c364fea3539d9ac04cf0bf7',1,'mclk.h']]],
['mclk_5fapbcmask_5fresetvalue_5586',['MCLK_APBCMASK_RESETVALUE',['../component_2mclk_8h.html#ad844382addaec4552ab75518ff2d7e74',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc4_5fpos_5587',['MCLK_APBCMASK_TC4_Pos',['../component_2mclk_8h.html#a7c886e1ff64370722c150d44200b66df',1,'mclk.h']]],
['mclk_5fapbcmask_5ftc5_5fpos_5588',['MCLK_APBCMASK_TC5_Pos',['../component_2mclk_8h.html#a0da39e4b06b949c2cbb3e9b54637fb53',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc2_5fpos_5589',['MCLK_APBCMASK_TCC2_Pos',['../component_2mclk_8h.html#a4f871f80238ad9bbb73e2f8656a9e59d',1,'mclk.h']]],
['mclk_5fapbcmask_5ftcc3_5fpos_5590',['MCLK_APBCMASK_TCC3_Pos',['../component_2mclk_8h.html#a6be7119f990531600e4f658bd3df0c0a',1,'mclk.h']]],
['mclk_5fapbcmask_5ftrng_5fpos_5591',['MCLK_APBCMASK_TRNG_Pos',['../component_2mclk_8h.html#a398240eb1bf125624011e74222087b4f',1,'mclk.h']]],
['mclk_5fapbcmask_5ftype_5592',['MCLK_APBCMASK_Type',['../unionMCLK__APBCMASK__Type.html',1,'']]],
['mclk_5fapbdmask_5fadc0_5fpos_5593',['MCLK_APBDMASK_ADC0_Pos',['../component_2mclk_8h.html#aaa0f2c5eb74e0b65cc4338f29f2d69c4',1,'mclk.h']]],
['mclk_5fapbdmask_5fadc1_5fpos_5594',['MCLK_APBDMASK_ADC1_Pos',['../component_2mclk_8h.html#aebfa8b7e9a270d649771d8f420fbc2e2',1,'mclk.h']]],
['mclk_5fapbdmask_5fdac_5fpos_5595',['MCLK_APBDMASK_DAC_Pos',['../component_2mclk_8h.html#a2d480786af05fb2fd66e9c85a987f855',1,'mclk.h']]],
['mclk_5fapbdmask_5fi2s_5fpos_5596',['MCLK_APBDMASK_I2S_Pos',['../component_2mclk_8h.html#a7675f312a66e9078d114360abaca0d69',1,'mclk.h']]],
['mclk_5fapbdmask_5fmask_5597',['MCLK_APBDMASK_MASK',['../component_2mclk_8h.html#ad7749cd8272a2d7fdb3faaeaa99e5268',1,'mclk.h']]],
['mclk_5fapbdmask_5foffset_5598',['MCLK_APBDMASK_OFFSET',['../component_2mclk_8h.html#a7a00f8f628fc059b0ffcc36d2343c212',1,'mclk.h']]],
['mclk_5fapbdmask_5fpcc_5fpos_5599',['MCLK_APBDMASK_PCC_Pos',['../component_2mclk_8h.html#ad4290756f92692a42c7bb1cfaf487d0f',1,'mclk.h']]],
['mclk_5fapbdmask_5fresetvalue_5600',['MCLK_APBDMASK_RESETVALUE',['../component_2mclk_8h.html#affe228d4015871770d9c2ac2d3e23349',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom4_5fpos_5601',['MCLK_APBDMASK_SERCOM4_Pos',['../component_2mclk_8h.html#ae97f2a7876a603ea76ee86f5e099c269',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom5_5fpos_5602',['MCLK_APBDMASK_SERCOM5_Pos',['../component_2mclk_8h.html#a0860f3d77409f156d996a776493af3a9',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom6_5fpos_5603',['MCLK_APBDMASK_SERCOM6_Pos',['../component_2mclk_8h.html#a1d520be70a0ed4fca667c58341d99620',1,'mclk.h']]],
['mclk_5fapbdmask_5fsercom7_5fpos_5604',['MCLK_APBDMASK_SERCOM7_Pos',['../component_2mclk_8h.html#a7d21e2207d86f11b75eb201011fee80e',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc6_5fpos_5605',['MCLK_APBDMASK_TC6_Pos',['../component_2mclk_8h.html#a5e5067b0ea3b8d950adeee60c7e201ef',1,'mclk.h']]],
['mclk_5fapbdmask_5ftc7_5fpos_5606',['MCLK_APBDMASK_TC7_Pos',['../component_2mclk_8h.html#a6ea8876f7f253392f0aa16da779c4d8b',1,'mclk.h']]],
['mclk_5fapbdmask_5ftcc4_5fpos_5607',['MCLK_APBDMASK_TCC4_Pos',['../component_2mclk_8h.html#a11586f55c55a915e5d5ed0f8986abf5d',1,'mclk.h']]],
['mclk_5fapbdmask_5ftype_5608',['MCLK_APBDMASK_Type',['../unionMCLK__APBDMASK__Type.html',1,'']]],
['mclk_5fcpudiv_5fdiv_5fdiv128_5fval_5609',['MCLK_CPUDIV_DIV_DIV128_Val',['../component_2mclk_8h.html#a4cfc1f32942c736f236075c22f1a4f81',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv16_5fval_5610',['MCLK_CPUDIV_DIV_DIV16_Val',['../component_2mclk_8h.html#ae681abf45271d52e20032f75fde3e7a4',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv1_5fval_5611',['MCLK_CPUDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#ae007ace127ccf52e63918793f78229b6',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv2_5fval_5612',['MCLK_CPUDIV_DIV_DIV2_Val',['../component_2mclk_8h.html#a86452934ee34c2237b4cb0a296bc0734',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv32_5fval_5613',['MCLK_CPUDIV_DIV_DIV32_Val',['../component_2mclk_8h.html#a19e3476ae76abd38b0eac2f62dd7be46',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv4_5fval_5614',['MCLK_CPUDIV_DIV_DIV4_Val',['../component_2mclk_8h.html#a0d458738f455dcb54c5aa2d0ffcfa616',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv64_5fval_5615',['MCLK_CPUDIV_DIV_DIV64_Val',['../component_2mclk_8h.html#aa91c616d0c486e08497d547619896a98',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fdiv8_5fval_5616',['MCLK_CPUDIV_DIV_DIV8_Val',['../component_2mclk_8h.html#a67de4295c7d075c089f0c0280f95002b',1,'mclk.h']]],
['mclk_5fcpudiv_5fdiv_5fpos_5617',['MCLK_CPUDIV_DIV_Pos',['../component_2mclk_8h.html#a4e0248a576cb2763bf8271787d56e3d6',1,'mclk.h']]],
['mclk_5fcpudiv_5fmask_5618',['MCLK_CPUDIV_MASK',['../component_2mclk_8h.html#ab671f30e14e4976663447bcdf727ea01',1,'mclk.h']]],
['mclk_5fcpudiv_5foffset_5619',['MCLK_CPUDIV_OFFSET',['../component_2mclk_8h.html#a6b856556e549869e608b1c9d9ca335ff',1,'mclk.h']]],
['mclk_5fcpudiv_5fresetvalue_5620',['MCLK_CPUDIV_RESETVALUE',['../component_2mclk_8h.html#ad5b446415ed860f94d2368945fa4b698',1,'mclk.h']]],
['mclk_5fcpudiv_5ftype_5621',['MCLK_CPUDIV_Type',['../unionMCLK__CPUDIV__Type.html',1,'']]],
['mclk_5fhsdiv_5fdiv_5fdiv1_5fval_5622',['MCLK_HSDIV_DIV_DIV1_Val',['../component_2mclk_8h.html#a119ab5a20e98782d805cdf5c4501e712',1,'mclk.h']]],
['mclk_5fhsdiv_5fdiv_5fpos_5623',['MCLK_HSDIV_DIV_Pos',['../component_2mclk_8h.html#a95894d35a451f22d21907122d7459c7c',1,'mclk.h']]],
['mclk_5fhsdiv_5fmask_5624',['MCLK_HSDIV_MASK',['../component_2mclk_8h.html#aaacdcc773f6047747e0c5be7e2f8e617',1,'mclk.h']]],
['mclk_5fhsdiv_5foffset_5625',['MCLK_HSDIV_OFFSET',['../component_2mclk_8h.html#ae0971c09918d1056399fd86900aa4890',1,'mclk.h']]],
['mclk_5fhsdiv_5fresetvalue_5626',['MCLK_HSDIV_RESETVALUE',['../component_2mclk_8h.html#ae6d3e536fec3cc26aaa6cd78c17d497b',1,'mclk.h']]],
['mclk_5fhsdiv_5ftype_5627',['MCLK_HSDIV_Type',['../unionMCLK__HSDIV__Type.html',1,'']]],
['mclk_5finst_5fnum_5628',['MCLK_INST_NUM',['../same54n19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM(): same54n19a.h'],['../same54n20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM(): same54n20a.h'],['../same54p19a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM(): same54p19a.h'],['../same54p20a_8h.html#ad3f4afd93bd089988cedb9c3aea25145',1,'MCLK_INST_NUM(): same54p20a.h']]],
['mclk_5finsts_5629',['MCLK_INSTS',['../same54n19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS(): same54n19a.h'],['../same54n20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS(): same54n20a.h'],['../same54p19a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS(): same54p19a.h'],['../same54p20a_8h.html#a707a9f5e4313b4d45594258470af930d',1,'MCLK_INSTS(): same54p20a.h']]],
['mclk_5fintenclr_5fckrdy_5fpos_5630',['MCLK_INTENCLR_CKRDY_Pos',['../component_2mclk_8h.html#aebdcc599e953e54697b2e40b5397496b',1,'mclk.h']]],
['mclk_5fintenclr_5fmask_5631',['MCLK_INTENCLR_MASK',['../component_2mclk_8h.html#a6389053110a48b3e28a1856e9379af38',1,'mclk.h']]],
['mclk_5fintenclr_5foffset_5632',['MCLK_INTENCLR_OFFSET',['../component_2mclk_8h.html#a68637b3f1fed0ccd3ae371c53992e406',1,'mclk.h']]],
['mclk_5fintenclr_5fresetvalue_5633',['MCLK_INTENCLR_RESETVALUE',['../component_2mclk_8h.html#ad173bb9dba9e1522a644dbde879829d4',1,'mclk.h']]],
['mclk_5fintenclr_5ftype_5634',['MCLK_INTENCLR_Type',['../unionMCLK__INTENCLR__Type.html',1,'']]],
['mclk_5fintenset_5fckrdy_5fpos_5635',['MCLK_INTENSET_CKRDY_Pos',['../component_2mclk_8h.html#ab9fefb6acd254d502c9ea81d010831ff',1,'mclk.h']]],
['mclk_5fintenset_5fmask_5636',['MCLK_INTENSET_MASK',['../component_2mclk_8h.html#aa5b62ad35b9bc2c164fea2457115e2b8',1,'mclk.h']]],
['mclk_5fintenset_5foffset_5637',['MCLK_INTENSET_OFFSET',['../component_2mclk_8h.html#ab0258927c23f68d0f403a91f68fdc1a8',1,'mclk.h']]],
['mclk_5fintenset_5fresetvalue_5638',['MCLK_INTENSET_RESETVALUE',['../component_2mclk_8h.html#a1a1d204e6b63e6fb14793fad6be480b3',1,'mclk.h']]],
['mclk_5fintenset_5ftype_5639',['MCLK_INTENSET_Type',['../unionMCLK__INTENSET__Type.html',1,'']]],
['mclk_5fintflag_5fckrdy_5fpos_5640',['MCLK_INTFLAG_CKRDY_Pos',['../component_2mclk_8h.html#abef328de34a9da476fa9a626643999e5',1,'mclk.h']]],
['mclk_5fintflag_5fmask_5641',['MCLK_INTFLAG_MASK',['../component_2mclk_8h.html#a4d2f239e68ff5fba4b25397d91bed74a',1,'mclk.h']]],
['mclk_5fintflag_5foffset_5642',['MCLK_INTFLAG_OFFSET',['../component_2mclk_8h.html#af56eeb6ada43fe94d261d6d04336635b',1,'mclk.h']]],
['mclk_5fintflag_5fresetvalue_5643',['MCLK_INTFLAG_RESETVALUE',['../component_2mclk_8h.html#a8e5308a9ba8280b0e4f121e9d65a36a4',1,'mclk.h']]],
['mclk_5fintflag_5ftype_5644',['MCLK_INTFLAG_Type',['../unionMCLK__INTFLAG__Type.html',1,'']]],
['mclk_5firqn_5645',['MCLK_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn(): same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn(): same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn(): same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083adf81a822a2c31edae1b131d030629991',1,'MCLK_IRQn(): same54p20a.h']]],
['mcol_5646',['MCOL',['../unionGMAC__MCF__Type.html#a4949c0eacc5234ca504f0872b216b3de',1,'GMAC_MCF_Type']]],
['mctrl_5647',['MCTRL',['../structCmcc.html#a0c4e011510e5e06185b19848f81dd0c9',1,'Cmcc']]],
['mdio_5648',['MDIO',['../unionGMAC__NSR__Type.html#a808644ffdb87f58d0ad3a89bf112fbec',1,'GMAC_NSR_Type']]],
['memdis_5649',['MEMDIS',['../unionPICOP__MMUCTRL__Type.html#aedc6bff32eb6cb31628a0cfa1fff85f4',1,'PICOP_MMUCTRL_Type']]],
['memorymanagement_5firqn_5650',['MemoryManagement_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn(): same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn(): same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn(): same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a33ff1cf7098de65d61b6354fee6cd5aa',1,'MemoryManagement_IRQn(): same54p20a.h']]],
['memtype_5651',['MEMTYPE',['../structDsu.html#a408d8afc257ed78a3169ca958d24a5aa',1,'Dsu']]],
['men_5652',['MEN',['../structCmcc.html#a4aadc35cd008a8b59ccbef0afd787cca',1,'Cmcc']]],
['menable_5653',['MENABLE',['../unionCMCC__MEN__Type.html#a5017cb590c50ab9797a5e15e05dc7700',1,'CMCC_MEN_Type']]],
['mexttoen_5654',['MEXTTOEN',['../unionSERCOM__I2CM__CTRLA__Type.html#a6b694b1cdd1a005f9567f407361f9fc5',1,'SERCOM_I2CM_CTRLA_Type']]],
['mexttout_5655',['MEXTTOUT',['../unionSERCOM__I2CM__STATUS__Type.html#ae1b41f149decc65488a27b1027ed6098',1,'SERCOM_I2CM_STATUS_Type']]],
['mfnum_5656',['MFNUM',['../unionUSB__DEVICE__FNUM__Type.html#a8ca484982b4959e1ce5552172ee923bf',1,'USB_DEVICE_FNUM_Type::MFNUM()'],['../unionUSB__HOST__FNUM__Type.html#a0bff63e78a104c1486369719ff58bd18',1,'USB_HOST_FNUM_Type::MFNUM()']]],
['mfr_5657',['MFR',['../structGmac.html#a861cd98eb64120f136645080e39de788',1,'Gmac']]],
['mfrx_5658',['MFRX',['../unionGMAC__MFR__Type.html#ab68dacebfbfd51ca74105e9d4fa3673d',1,'GMAC_MFR_Type']]],
['mfs_5659',['MFS',['../unionGMAC__ISR__Type.html#ae91211a48e5372676ca3f44964a58f5c',1,'GMAC_ISR_Type::MFS()'],['../unionGMAC__IER__Type.html#adc6a86e27026c46c05ae82ef33deffa5',1,'GMAC_IER_Type::MFS()'],['../unionGMAC__IDR__Type.html#a200fbc202d1fb8f03081a70d2f5e4a0d',1,'GMAC_IDR_Type::MFS()'],['../unionGMAC__IMR__Type.html#a02a0d65563e780c8a180bf4f4004d8d3',1,'GMAC_IMR_Type::MFS()']]],
['mft_5660',['MFT',['../structGmac.html#a2a129a797408afef53f5f2711230c606',1,'Gmac']]],
['mftx_5661',['MFTX',['../unionGMAC__MFT__Type.html#a32bf5dd2903516a35bfe54a8bbd204cf',1,'GMAC_MFT_Type']]],
['mii_5662',['MII',['../unionGMAC__UR__Type.html#af0a720044504f499f7ddaee607b22539',1,'GMAC_UR_Type']]],
['minute_5663',['MINUTE',['../unionRTC__MODE2__CLOCK__Type.html#a32a992d0d81027c5443ba2a7a0c4f974',1,'RTC_MODE2_CLOCK_Type::MINUTE()'],['../unionRTC__MODE2__ALARM__Type.html#af4c6c678c2a15428745bbe7dbb5b56dd',1,'RTC_MODE2_ALARM_Type::MINUTE()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a7c809cbf6e97444c434637c0e01731d4',1,'RTC_MODE2_TIMESTAMP_Type::MINUTE()']]],
['mm_5664',['MM',['../unionCAN__TXBE__1__Type.html#a7adac836f59c2fc70c7b73b09b38d45b',1,'CAN_TXBE_1_Type::MM()'],['../unionCAN__TXEFE__1__Type.html#a4517df507d011dc9e1f457ce91062c16',1,'CAN_TXEFE_1_Type::MM()']]],
['mmu0_5665',['MMU0',['../structPicop.html#a4b019cca1c976fa47fcda394db203e50',1,'Picop']]],
['mmu1_5666',['MMU1',['../structPicop.html#acb04d87fb9f3a9f52732243fa5a9846f',1,'Picop']]],
['mmuctrl_5667',['MMUCTRL',['../structPicop.html#ad781f325652dffdb3e472aa8ed09aa60',1,'Picop']]],
['mmuflash_5668',['MMUFLASH',['../structPicop.html#a052b6a7a264ff7f6ebf6e8b5fe36f1af',1,'Picop']]],
['mode_5669',['MODE',['../unionCMCC__MCFG__Type.html#aee931ba78eb83e697fad986a4130e510',1,'CMCC_MCFG_Type::MODE()'],['../unionOSCCTRL__DFLLCTRLB__Type.html#ad073fa411491617af1aac6d332e32a01',1,'OSCCTRL_DFLLCTRLB_Type::MODE()'],['../unionPDEC__CTRLA__Type.html#ad660b0cfe70d97caecd0f20b1065e7cc',1,'PDEC_CTRLA_Type::MODE()'],['../unionQSPI__CTRLB__Type.html#a40b9f8126928b0a8cc5daa6402d10de8',1,'QSPI_CTRLB_Type::MODE()'],['../unionRTC__MODE0__CTRLA__Type.html#a19a80ce3140e4780a595513133e89751',1,'RTC_MODE0_CTRLA_Type::MODE()'],['../unionRTC__MODE1__CTRLA__Type.html#a086815908af6208ba632276828ef7450',1,'RTC_MODE1_CTRLA_Type::MODE()'],['../unionRTC__MODE2__CTRLA__Type.html#abe9ece5a9e4173ecbbca08b7ed9bd7b7',1,'RTC_MODE2_CTRLA_Type::MODE()'],['../unionSERCOM__I2CM__CTRLA__Type.html#a3fb961c4be03ac61a765f7b564f7acf4',1,'SERCOM_I2CM_CTRLA_Type::MODE()'],['../unionSERCOM__I2CS__CTRLA__Type.html#a71c8f61b9ffca9114e27c78fc93282c7',1,'SERCOM_I2CS_CTRLA_Type::MODE()'],['../unionSERCOM__SPI__CTRLA__Type.html#ae23d24939de9a66920e2f0c4158b2199',1,'SERCOM_SPI_CTRLA_Type::MODE()'],['../unionSERCOM__USART__CTRLA__Type.html#a07f6751ebca8342016885c406fce324d',1,'SERCOM_USART_CTRLA_Type::MODE()'],['../unionTC__CTRLA__Type.html#a7b2911772bdbadd9d3a670183a36a81b',1,'TC_CTRLA_Type::MODE()'],['../unionUSB__CTRLA__Type.html#a23a99daca797d764f3e54f15bc3444a3',1,'USB_CTRLA_Type::MODE()']]],
['mode0_5670',['MODE0',['../unionRtc.html#a02141b27492423d9289f41b7d95ffad9',1,'Rtc']]],
['mode1_5671',['MODE1',['../unionRtc.html#a6d79941381ca27f822f5413a6c313e1a',1,'Rtc']]],
['mode2_5672',['MODE2',['../unionRtc.html#adf0f631b6be9719d97505ccbccdf3def',1,'Rtc']]],
['mode2alarm_5673',['Mode2Alarm',['../structRtcMode2.html#abeff3c405dbd6ed786526afc55029820',1,'RtcMode2']]],
['mon_5674',['MON',['../unionCAN__CCCR__Type.html#a2853a1d02a67c63c301812f575540f0b',1,'CAN_CCCR_Type']]],
['mono_5675',['MONO',['../unionI2S__TXCTRL__Type.html#a6b8a09a6581f03229a9dfeace12ff458',1,'I2S_TXCTRL_Type::MONO()'],['../unionI2S__RXCTRL__Type.html#a919dc55b450ace43bbf9aa7a2fa74de4',1,'I2S_RXCTRL_Type::MONO()']]],
['month_5676',['MONTH',['../unionRTC__MODE2__CLOCK__Type.html#a967c10b3863fa21508bd35cfcc8508f8',1,'RTC_MODE2_CLOCK_Type::MONTH()'],['../unionRTC__MODE2__ALARM__Type.html#ac07b07bfe235d77ec2e00ce470321cc6',1,'RTC_MODE2_ALARM_Type::MONTH()'],['../unionRTC__MODE2__TIMESTAMP__Type.html#a8d9781e7c4414278e31ba00edc8fc334',1,'RTC_MODE2_TIMESTAMP_Type::MONTH()']]],
['mpe_5677',['MPE',['../unionGMAC__NCR__Type.html#a896b6b2cf4904c2b6878a1f9089c838b',1,'GMAC_NCR_Type']]],
['mperr_5678',['MPERR',['../unionPDEC__STATUS__Type.html#a7e57a79e098f6ac3b08b11a9f1b419da',1,'PDEC_STATUS_Type']]],
['mr_5679',['MR',['../structPcc.html#ae240887b2bf7144186f620fe54b7b4ce',1,'Pcc']]],
['mraf_5680',['MRAF',['../unionCAN__IR__Type.html#ad8596c3b960fbb516a9c0adfc5ee1406',1,'CAN_IR_Type']]],
['mrafe_5681',['MRAFE',['../unionCAN__IE__Type.html#ac1d21e8221398c5849c6c20f7dacf8e7',1,'CAN_IE_Type']]],
['mrafl_5682',['MRAFL',['../unionCAN__ILS__Type.html#ad0b3ef7d549317808a26f87b802514eb',1,'CAN_ILS_Type']]],
['mrcfg_5683',['MRCFG',['../structCan.html#aab7fa0a31ab04ae87051dc557ddeb694',1,'Can']]],
['mrprot_5684',['MRPROT',['../unionICM__RCFG__Type.html#af91f6b6068d77e08fe214ca1646c6c9f',1,'ICM_RCFG_Type']]],
['msbsel_5685',['MSBSEL',['../unionSDHC__TMR__Type.html#afa470ad341ca8437713b453f478f247e',1,'SDHC_TMR_Type']]],
['msi_5686',['MSI',['../unionCAN__HPMS__Type.html#ac754ab9641d33fbf4dce590b0de24e7f',1,'CAN_HPMS_Type']]],
['msof_5687',['MSOF',['../unionUSB__DEVICE__INTENCLR__Type.html#aaddc4ad7905d6c4a7eb7b949b199df27',1,'USB_DEVICE_INTENCLR_Type::MSOF()'],['../unionUSB__DEVICE__INTENSET__Type.html#a0620c305dbddb2dc60f78be53827fec9',1,'USB_DEVICE_INTENSET_Type::MSOF()'],['../unionUSB__DEVICE__INTFLAG__Type.html#af8848629b3d4cf7109e36051c47d4848',1,'USB_DEVICE_INTFLAG_Type::MSOF()']]],
['msr_5688',['MSR',['../structCmcc.html#a38272d8b430ad87ce4552ac7236255b6',1,'Cmcc']]],
['mssen_5689',['MSSEN',['../unionSERCOM__SPI__CTRLB__Type.html#a32fca1f5ab3696002ac1e65003f5d1af',1,'SERCOM_SPI_CTRLB_Type']]],
['msync_5690',['MSYNC',['../unionTCC__CTRLA__Type.html#ab4fe6ffa39ad80b8fec4b73891eba95f',1,'TCC_CTRLA_Type']]],
['mti_5691',['MTI',['../unionGMAC__WOL__Type.html#a7217d9d1a8739632a002e9b0902e22dd',1,'GMAC_WOL_Type']]],
['mtihen_5692',['MTIHEN',['../unionGMAC__NCFGR__Type.html#aaba1df829a06512ca61f122d4d0247a8',1,'GMAC_NCFGR_Type']]],
['mul_5693',['MUL',['../unionOSCCTRL__DFLLMUL__Type.html#a953ebf2488a6a6a109274e86f3f595e3',1,'OSCCTRL_DFLLMUL_Type']]],
['multi_5fpacket_5fsize_5694',['MULTI_PACKET_SIZE',['../unionUSB__DEVICE__PCKSIZE__Type.html#a3c171c61d6be97511d0fcc116256bd3c',1,'USB_DEVICE_PCKSIZE_Type::MULTI_PACKET_SIZE()'],['../unionUSB__HOST__PCKSIZE__Type.html#a6446c0ab7f470bd2ef0cc06c3897a68e',1,'USB_HOST_PCKSIZE_Type::MULTI_PACKET_SIZE()']]],
['muxneg_5695',['MUXNEG',['../unionAC__COMPCTRL__Type.html#a46f2e7662c18b2108a2c8de43c00b39f',1,'AC_COMPCTRL_Type::MUXNEG()'],['../unionADC__INPUTCTRL__Type.html#a0a7d8e4a1fae39ce46e4bab2d96fa83f',1,'ADC_INPUTCTRL_Type::MUXNEG()']]],
['muxpos_5696',['MUXPOS',['../unionAC__COMPCTRL__Type.html#aa4274235084b7d672bf0f4c08f214050',1,'AC_COMPCTRL_Type::MUXPOS()'],['../unionADC__INPUTCTRL__Type.html#a01f939ab84b6f9ce9432d408abcf7312',1,'ADC_INPUTCTRL_Type::MUXPOS()']]],
['main_20clock_5697',['Main Clock',['../group__SAME54__MCLK.html',1,'']]]
];