You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

542 lines
100 KiB
JavaScript

var searchData=
[
['i2cm_4842',['I2CM',['../unionSercom.html#adb28862e03096b4bb9e55030b3ff670b',1,'Sercom']]],
['i2cs_4843',['I2CS',['../unionSercom.html#a7dfcf9bc99ef996e57933ecd92171953',1,'Sercom']]],
['i2s_4844',['I2s',['../structI2s.html',1,'I2s'],['../same54n19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S(): same54n19a.h'],['../same54n20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S(): same54n20a.h'],['../same54p19a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S(): same54p19a.h'],['../same54p20a_8h.html#a96cf52252e490544f612c4e6d043198a',1,'I2S(): same54p20a.h']]],
['i2s_2eh_4845',['i2s.h',['../component_2i2s_8h.html',1,'(Global Namespace)'],['../instance_2i2s_8h.html',1,'(Global Namespace)']]],
['i2s_5f_4846',['I2S_',['../unionMCLK__APBDMASK__Type.html#a5bb5b8d0679fb9f2defcee5c1a3ec5f3',1,'MCLK_APBDMASK_Type::I2S_()'],['../unionPAC__INTFLAGD__Type.html#a73e6c998d7f7c1e9a8224bee7541d16b',1,'PAC_INTFLAGD_Type::I2S_()'],['../unionPAC__STATUSD__Type.html#ad3016963d600ca7066f51ed4a561a72a',1,'PAC_STATUSD_Type::I2S_()']]],
['i2s_5fclkctrl_5fbitdelay_5fi2s_5fval_4847',['I2S_CLKCTRL_BITDELAY_I2S_Val',['../component_2i2s_8h.html#afcd06500145915a75264200ecd94b82c',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5flj_5fval_4848',['I2S_CLKCTRL_BITDELAY_LJ_Val',['../component_2i2s_8h.html#a8f7fa76cbdfa92a9d34cb21798f42e05',1,'i2s.h']]],
['i2s_5fclkctrl_5fbitdelay_5fpos_4849',['I2S_CLKCTRL_BITDELAY_Pos',['../component_2i2s_8h.html#a49490bb9926136b0a51f72cf1cb06d0b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsinv_5fpos_4850',['I2S_CLKCTRL_FSINV_Pos',['../component_2i2s_8h.html#a29373d39bfacc2e611c7529033904695',1,'i2s.h']]],
['i2s_5fclkctrl_5ffsoutinv_5fpos_4851',['I2S_CLKCTRL_FSOUTINV_Pos',['../component_2i2s_8h.html#acaf6b659b41ebeb68a953853623fe32f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5ffspin_5fval_4852',['I2S_CLKCTRL_FSSEL_FSPIN_Val',['../component_2i2s_8h.html#a705fcc1a6a527511c4840dddc95a2008',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fpos_4853',['I2S_CLKCTRL_FSSEL_Pos',['../component_2i2s_8h.html#aa51b72506368a9cf76123c0309c2058f',1,'i2s.h']]],
['i2s_5fclkctrl_5ffssel_5fsckdiv_5fval_4854',['I2S_CLKCTRL_FSSEL_SCKDIV_Val',['../component_2i2s_8h.html#a39d58817b2ebcbad9625f2b5aeadc335',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fbit_5fval_4855',['I2S_CLKCTRL_FSWIDTH_BIT_Val',['../component_2i2s_8h.html#a8e586922105865e834930dfc922c1671',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fburst_5fval_4856',['I2S_CLKCTRL_FSWIDTH_BURST_Val',['../component_2i2s_8h.html#ad8a9cb5d05e25833a45f099860ac162e',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fhalf_5fval_4857',['I2S_CLKCTRL_FSWIDTH_HALF_Val',['../component_2i2s_8h.html#a74f044f2df267ca85a29fea55b94cd7b',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fpos_4858',['I2S_CLKCTRL_FSWIDTH_Pos',['../component_2i2s_8h.html#a68fd7a78e998d738a74bf6a56a47a082',1,'i2s.h']]],
['i2s_5fclkctrl_5ffswidth_5fslot_5fval_4859',['I2S_CLKCTRL_FSWIDTH_SLOT_Val',['../component_2i2s_8h.html#a95aeb939939817f4a06c2b7fad0baf11',1,'i2s.h']]],
['i2s_5fclkctrl_5fmask_4860',['I2S_CLKCTRL_MASK',['../component_2i2s_8h.html#a8226b438dcf86a1ccb34b1a34ee20851',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckdiv_5fpos_4861',['I2S_CLKCTRL_MCKDIV_Pos',['../component_2i2s_8h.html#ad3726a482440530335068151b6dc027d',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcken_5fpos_4862',['I2S_CLKCTRL_MCKEN_Pos',['../component_2i2s_8h.html#aacb10d0c0efa62757e4ad2835e34b7ea',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutdiv_5fpos_4863',['I2S_CLKCTRL_MCKOUTDIV_Pos',['../component_2i2s_8h.html#afebe81d51492d496f010c589066b6480',1,'i2s.h']]],
['i2s_5fclkctrl_5fmckoutinv_5fpos_4864',['I2S_CLKCTRL_MCKOUTINV_Pos',['../component_2i2s_8h.html#a4fc0a23600a4d7c260a70a0e72aefb3e',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fgclk_5fval_4865',['I2S_CLKCTRL_MCKSEL_GCLK_Val',['../component_2i2s_8h.html#ad99be42bcb1d8ee04c4f99b4e64612cd',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fmckpin_5fval_4866',['I2S_CLKCTRL_MCKSEL_MCKPIN_Val',['../component_2i2s_8h.html#a628c7be58d58c99048e2c6fe700924ff',1,'i2s.h']]],
['i2s_5fclkctrl_5fmcksel_5fpos_4867',['I2S_CLKCTRL_MCKSEL_Pos',['../component_2i2s_8h.html#a4767c420ba0c4a22f2cfd89f1bd64533',1,'i2s.h']]],
['i2s_5fclkctrl_5fnbslots_5fpos_4868',['I2S_CLKCTRL_NBSLOTS_Pos',['../component_2i2s_8h.html#ae01bc499f135be63219ee2c6444c05b3',1,'i2s.h']]],
['i2s_5fclkctrl_5foffset_4869',['I2S_CLKCTRL_OFFSET',['../component_2i2s_8h.html#ac9120317bb8722d15b6abd2964cc6b66',1,'i2s.h']]],
['i2s_5fclkctrl_5fresetvalue_4870',['I2S_CLKCTRL_RESETVALUE',['../component_2i2s_8h.html#a34cc8d707a5387d2ff9232ba0b30f95e',1,'i2s.h']]],
['i2s_5fclkctrl_5fsckoutinv_5fpos_4871',['I2S_CLKCTRL_SCKOUTINV_Pos',['../component_2i2s_8h.html#a74c1d5849cebe8fb0e16e16251e8f60d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fmckdiv_5fval_4872',['I2S_CLKCTRL_SCKSEL_MCKDIV_Val',['../component_2i2s_8h.html#a4fcee5b58df1d5d62935da463555752d',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fpos_4873',['I2S_CLKCTRL_SCKSEL_Pos',['../component_2i2s_8h.html#a51d7bf9feb1a438c178c07077a84d6ec',1,'i2s.h']]],
['i2s_5fclkctrl_5fscksel_5fsckpin_5fval_4874',['I2S_CLKCTRL_SCKSEL_SCKPIN_Val',['../component_2i2s_8h.html#af4b508014f15cb047bddbb681228668f',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f16_5fval_4875',['I2S_CLKCTRL_SLOTSIZE_16_Val',['../component_2i2s_8h.html#a4caa59c4008c74b3ee0a8be8dd02183b',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f24_5fval_4876',['I2S_CLKCTRL_SLOTSIZE_24_Val',['../component_2i2s_8h.html#abbc9d2ad05bbc8e24cdc97dcc5ffdd04',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f32_5fval_4877',['I2S_CLKCTRL_SLOTSIZE_32_Val',['../component_2i2s_8h.html#aaee0ee592d4468e2abf681def2ad82b7',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5f8_5fval_4878',['I2S_CLKCTRL_SLOTSIZE_8_Val',['../component_2i2s_8h.html#a8bdf35936a6d3d62de0c94cde31b1c94',1,'i2s.h']]],
['i2s_5fclkctrl_5fslotsize_5fpos_4879',['I2S_CLKCTRL_SLOTSIZE_Pos',['../component_2i2s_8h.html#a3790543c148a2adda023e411fd6595ad',1,'i2s.h']]],
['i2s_5fclkctrl_5ftype_4880',['I2S_CLKCTRL_Type',['../unionI2S__CLKCTRL__Type.html',1,'']]],
['i2s_5fctrla_5fcken0_5fpos_4881',['I2S_CTRLA_CKEN0_Pos',['../component_2i2s_8h.html#a7d77c4b6486ab5045b81fc091b9e338d',1,'i2s.h']]],
['i2s_5fctrla_5fcken1_5fpos_4882',['I2S_CTRLA_CKEN1_Pos',['../component_2i2s_8h.html#a8e21e63a40f88a9e5ec0542ff8df23c0',1,'i2s.h']]],
['i2s_5fctrla_5fcken_5fpos_4883',['I2S_CTRLA_CKEN_Pos',['../component_2i2s_8h.html#a8c61333786563ab2ed03bfb976fc4e74',1,'i2s.h']]],
['i2s_5fctrla_5fenable_5fpos_4884',['I2S_CTRLA_ENABLE_Pos',['../component_2i2s_8h.html#a3eca624a3bbc9c500de9624a3c816399',1,'i2s.h']]],
['i2s_5fctrla_5fmask_4885',['I2S_CTRLA_MASK',['../component_2i2s_8h.html#a89739cb190180a69697f3ece0470b780',1,'i2s.h']]],
['i2s_5fctrla_5foffset_4886',['I2S_CTRLA_OFFSET',['../component_2i2s_8h.html#a6d620cfa3bc2af7bdbbbae9252f5b567',1,'i2s.h']]],
['i2s_5fctrla_5fresetvalue_4887',['I2S_CTRLA_RESETVALUE',['../component_2i2s_8h.html#ac55724eab173039ae6acf553ed92c693',1,'i2s.h']]],
['i2s_5fctrla_5frxen_5fpos_4888',['I2S_CTRLA_RXEN_Pos',['../component_2i2s_8h.html#a06fcfeab9e39e7492298e3a4a3e58950',1,'i2s.h']]],
['i2s_5fctrla_5fswrst_5fpos_4889',['I2S_CTRLA_SWRST_Pos',['../component_2i2s_8h.html#a397b6ac745baf60099bd267adf4143a8',1,'i2s.h']]],
['i2s_5fctrla_5ftxen_5fpos_4890',['I2S_CTRLA_TXEN_Pos',['../component_2i2s_8h.html#a501e61ab1ba7bb3b2bac7373ff80bd5e',1,'i2s.h']]],
['i2s_5fctrla_5ftype_4891',['I2S_CTRLA_Type',['../unionI2S__CTRLA__Type.html',1,'']]],
['i2s_5finst_5fnum_4892',['I2S_INST_NUM',['../same54n19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM(): same54n19a.h'],['../same54n20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM(): same54n20a.h'],['../same54p19a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM(): same54p19a.h'],['../same54p20a_8h.html#a0d0c0cdde1b0f0427458e295f72ad1c6',1,'I2S_INST_NUM(): same54p20a.h']]],
['i2s_5finsts_4893',['I2S_INSTS',['../same54n19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS(): same54n19a.h'],['../same54n20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS(): same54n20a.h'],['../same54p19a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS(): same54p19a.h'],['../same54p20a_8h.html#a6ccca9980314a66114bdc2a830c3b869',1,'I2S_INSTS(): same54p20a.h']]],
['i2s_5fintenclr_5fmask_4894',['I2S_INTENCLR_MASK',['../component_2i2s_8h.html#a7511aeab3570168deef863a0945ff013',1,'i2s.h']]],
['i2s_5fintenclr_5foffset_4895',['I2S_INTENCLR_OFFSET',['../component_2i2s_8h.html#abd070bc8ffee600ddb258303c5ff7e6c',1,'i2s.h']]],
['i2s_5fintenclr_5fresetvalue_4896',['I2S_INTENCLR_RESETVALUE',['../component_2i2s_8h.html#a2bc24ab6ab10ee3fcad4fb0678e122f4',1,'i2s.h']]],
['i2s_5fintenclr_5frxor0_5fpos_4897',['I2S_INTENCLR_RXOR0_Pos',['../component_2i2s_8h.html#ae7b60215ac9e256b4660e710f2a20a15',1,'i2s.h']]],
['i2s_5fintenclr_5frxor1_5fpos_4898',['I2S_INTENCLR_RXOR1_Pos',['../component_2i2s_8h.html#a3ac2f9b1f31d415d4e071d8af0074b06',1,'i2s.h']]],
['i2s_5fintenclr_5frxor_5fpos_4899',['I2S_INTENCLR_RXOR_Pos',['../component_2i2s_8h.html#afed2283ebdd42b5c187abf28e6029f0c',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy0_5fpos_4900',['I2S_INTENCLR_RXRDY0_Pos',['../component_2i2s_8h.html#a15e508b4c8f7428f2ff99616060bc6ee',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy1_5fpos_4901',['I2S_INTENCLR_RXRDY1_Pos',['../component_2i2s_8h.html#ab9fb50d874f6597bfa69abb73fbf2862',1,'i2s.h']]],
['i2s_5fintenclr_5frxrdy_5fpos_4902',['I2S_INTENCLR_RXRDY_Pos',['../component_2i2s_8h.html#a04eb812f8b42d1d690ba5420fc6b242b',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy0_5fpos_4903',['I2S_INTENCLR_TXRDY0_Pos',['../component_2i2s_8h.html#a2ec1727e262443ba522900c51416e3c6',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy1_5fpos_4904',['I2S_INTENCLR_TXRDY1_Pos',['../component_2i2s_8h.html#ad9aeb6db3a8f09c6c6d586b64a98e4c3',1,'i2s.h']]],
['i2s_5fintenclr_5ftxrdy_5fpos_4905',['I2S_INTENCLR_TXRDY_Pos',['../component_2i2s_8h.html#afc89b68c83bd2907f5c7a91cd36a667f',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur0_5fpos_4906',['I2S_INTENCLR_TXUR0_Pos',['../component_2i2s_8h.html#a40a52fbc12775b89db0e5e917beaba8d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur1_5fpos_4907',['I2S_INTENCLR_TXUR1_Pos',['../component_2i2s_8h.html#a8e6e57c754c211623784759da161c01d',1,'i2s.h']]],
['i2s_5fintenclr_5ftxur_5fpos_4908',['I2S_INTENCLR_TXUR_Pos',['../component_2i2s_8h.html#a3532309a5035b5752b503639978a9e62',1,'i2s.h']]],
['i2s_5fintenclr_5ftype_4909',['I2S_INTENCLR_Type',['../unionI2S__INTENCLR__Type.html',1,'']]],
['i2s_5fintenset_5fmask_4910',['I2S_INTENSET_MASK',['../component_2i2s_8h.html#a69068c25175bd3bb6b3cd8dd5b48a933',1,'i2s.h']]],
['i2s_5fintenset_5foffset_4911',['I2S_INTENSET_OFFSET',['../component_2i2s_8h.html#a4f7799200879d836b56557cc501fc86c',1,'i2s.h']]],
['i2s_5fintenset_5fresetvalue_4912',['I2S_INTENSET_RESETVALUE',['../component_2i2s_8h.html#af0d24a1632b21dc544a62f9ba89478e3',1,'i2s.h']]],
['i2s_5fintenset_5frxor0_5fpos_4913',['I2S_INTENSET_RXOR0_Pos',['../component_2i2s_8h.html#a329b94c3981eea317584e01a84bbc23b',1,'i2s.h']]],
['i2s_5fintenset_5frxor1_5fpos_4914',['I2S_INTENSET_RXOR1_Pos',['../component_2i2s_8h.html#aa80578c073e672c92eb123cda1edd527',1,'i2s.h']]],
['i2s_5fintenset_5frxor_5fpos_4915',['I2S_INTENSET_RXOR_Pos',['../component_2i2s_8h.html#a85f713671974c54bdf903cbc6767f5d0',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy0_5fpos_4916',['I2S_INTENSET_RXRDY0_Pos',['../component_2i2s_8h.html#a20017e8afeaed03d067cdab28118f120',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy1_5fpos_4917',['I2S_INTENSET_RXRDY1_Pos',['../component_2i2s_8h.html#a9f5ba1356e9c6c4cc5a89174ea9c9544',1,'i2s.h']]],
['i2s_5fintenset_5frxrdy_5fpos_4918',['I2S_INTENSET_RXRDY_Pos',['../component_2i2s_8h.html#a6a83119896f3ef19f62b84b85047291b',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy0_5fpos_4919',['I2S_INTENSET_TXRDY0_Pos',['../component_2i2s_8h.html#a6e9a7c3dba406e01057e4923c0df405f',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy1_5fpos_4920',['I2S_INTENSET_TXRDY1_Pos',['../component_2i2s_8h.html#a825c2ed15a9153b24d8a83533b9cca35',1,'i2s.h']]],
['i2s_5fintenset_5ftxrdy_5fpos_4921',['I2S_INTENSET_TXRDY_Pos',['../component_2i2s_8h.html#a4f5152f72ea4c8ccf037b78380108771',1,'i2s.h']]],
['i2s_5fintenset_5ftxur0_5fpos_4922',['I2S_INTENSET_TXUR0_Pos',['../component_2i2s_8h.html#ae7a70a245f4559dc2e882e5b5e40c24e',1,'i2s.h']]],
['i2s_5fintenset_5ftxur1_5fpos_4923',['I2S_INTENSET_TXUR1_Pos',['../component_2i2s_8h.html#a97d5fc3092599da0d0950d4cbdf8ec66',1,'i2s.h']]],
['i2s_5fintenset_5ftxur_5fpos_4924',['I2S_INTENSET_TXUR_Pos',['../component_2i2s_8h.html#a0b01a5e36ed19ab2e98e0685a96d1e04',1,'i2s.h']]],
['i2s_5fintenset_5ftype_4925',['I2S_INTENSET_Type',['../unionI2S__INTENSET__Type.html',1,'']]],
['i2s_5fintflag_5fmask_4926',['I2S_INTFLAG_MASK',['../component_2i2s_8h.html#aa253de8b7b161df5481550f7ff9d2039',1,'i2s.h']]],
['i2s_5fintflag_5foffset_4927',['I2S_INTFLAG_OFFSET',['../component_2i2s_8h.html#ac8ef4f6d3231d368efdb2135b13146d8',1,'i2s.h']]],
['i2s_5fintflag_5fresetvalue_4928',['I2S_INTFLAG_RESETVALUE',['../component_2i2s_8h.html#a683106ff6b1673adc53e28a118d2c6b5',1,'i2s.h']]],
['i2s_5fintflag_5frxor0_5fpos_4929',['I2S_INTFLAG_RXOR0_Pos',['../component_2i2s_8h.html#aea01a5af5d62e72433ff5a4576fb2a5a',1,'i2s.h']]],
['i2s_5fintflag_5frxor1_5fpos_4930',['I2S_INTFLAG_RXOR1_Pos',['../component_2i2s_8h.html#a187192cb3469dd749d34479792551617',1,'i2s.h']]],
['i2s_5fintflag_5frxor_5fpos_4931',['I2S_INTFLAG_RXOR_Pos',['../component_2i2s_8h.html#a72a2ee346cab69a89d41a362304e67a8',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy0_5fpos_4932',['I2S_INTFLAG_RXRDY0_Pos',['../component_2i2s_8h.html#a1eaa330e64ae98b22bd94d4820ef25bd',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy1_5fpos_4933',['I2S_INTFLAG_RXRDY1_Pos',['../component_2i2s_8h.html#a3872451a6ff3dac098d309ac91a07224',1,'i2s.h']]],
['i2s_5fintflag_5frxrdy_5fpos_4934',['I2S_INTFLAG_RXRDY_Pos',['../component_2i2s_8h.html#af35339d81893f2eb7074bda7576ed732',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy0_5fpos_4935',['I2S_INTFLAG_TXRDY0_Pos',['../component_2i2s_8h.html#ab8cb5231618dcbf1dc0755bef513fcbb',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy1_5fpos_4936',['I2S_INTFLAG_TXRDY1_Pos',['../component_2i2s_8h.html#aa6d976afb12a4186f3801d42d7f3eaf2',1,'i2s.h']]],
['i2s_5fintflag_5ftxrdy_5fpos_4937',['I2S_INTFLAG_TXRDY_Pos',['../component_2i2s_8h.html#a4401102e0bff61291957c9418e23a1b8',1,'i2s.h']]],
['i2s_5fintflag_5ftxur0_5fpos_4938',['I2S_INTFLAG_TXUR0_Pos',['../component_2i2s_8h.html#a5f0b5fd326750e4e70210add0dd2dce7',1,'i2s.h']]],
['i2s_5fintflag_5ftxur1_5fpos_4939',['I2S_INTFLAG_TXUR1_Pos',['../component_2i2s_8h.html#a5b34bc919a96b16a6b6cf8fcd7d1c657',1,'i2s.h']]],
['i2s_5fintflag_5ftxur_5fpos_4940',['I2S_INTFLAG_TXUR_Pos',['../component_2i2s_8h.html#a8f19374ab8dd41bf7c35c129c1389fa7',1,'i2s.h']]],
['i2s_5fintflag_5ftype_4941',['I2S_INTFLAG_Type',['../unionI2S__INTFLAG__Type.html',1,'']]],
['i2s_5firqn_4942',['I2S_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn(): same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn(): same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn(): same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083a133fe4eabad3ed7b1959daddaace94b3',1,'I2S_IRQn(): same54p20a.h']]],
['i2s_5frxctrl_5fbitrev_5flsbit_5fval_4943',['I2S_RXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a4189215c57c5f54690a43a016562e256',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fmsbit_5fval_4944',['I2S_RXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#a328d2ab97927812391d0c5d42fdf47bd',1,'i2s.h']]],
['i2s_5frxctrl_5fbitrev_5fpos_4945',['I2S_RXCTRL_BITREV_Pos',['../component_2i2s_8h.html#a483344f9eb7d762425531749ca656065',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk0_5fval_4946',['I2S_RXCTRL_CLKSEL_CLK0_Val',['../component_2i2s_8h.html#a1bf5e6a62ea3c9152ccded8930946871',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fclk1_5fval_4947',['I2S_RXCTRL_CLKSEL_CLK1_Val',['../component_2i2s_8h.html#ab5566340ff2baa8b4144fad7ef03d699',1,'i2s.h']]],
['i2s_5frxctrl_5fclksel_5fpos_4948',['I2S_RXCTRL_CLKSEL_Pos',['../component_2i2s_8h.html#a651115fd712c9d92b2afa088a6ea9ba4',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16_5fval_4949',['I2S_RXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a47e69a45e045535406ad55e11ad5d71c',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f16c_5fval_4950',['I2S_RXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a4e55a2002f9093bb01be018bbd7459ac',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f18_5fval_4951',['I2S_RXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a9aa9c80f04de2464087be188b988481d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f20_5fval_4952',['I2S_RXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#afdb0227564c5d171483a812ba25a9b5a',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f24_5fval_4953',['I2S_RXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a10c8a4e2f20063d37fa2d24027718829',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f32_5fval_4954',['I2S_RXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a382da5627a63d7a6c98674de4a5ba03d',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8_5fval_4955',['I2S_RXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a6ab4f649f427ba70829ead258480e3f2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5f8c_5fval_4956',['I2S_RXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a64ebb00aef17da0a3250559554e51fd2',1,'i2s.h']]],
['i2s_5frxctrl_5fdatasize_5fpos_4957',['I2S_RXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#ab02b820857f008f6dce1a3b2aca8abdf',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fmultiple_5fval_4958',['I2S_RXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a5822f4572a509a97827ed13a7033201b',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fpos_4959',['I2S_RXCTRL_DMA_Pos',['../component_2i2s_8h.html#a5a300a1a8820ef8c25efb483c5e55bd6',1,'i2s.h']]],
['i2s_5frxctrl_5fdma_5fsingle_5fval_4960',['I2S_RXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a120be82e1fceec25d7a921abd34f61bc',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5flsbit_5fval_4961',['I2S_RXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#acca345974d2174e3c4545622ea6d76da',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fmsbit_5fval_4962',['I2S_RXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a9609b632d91bb077c22d232fa15e7e9e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fone_5fval_4963',['I2S_RXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#abfafaba50aaa222fd4e4e0e917bf1f4e',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fpos_4964',['I2S_RXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a57b4866cd968ac5f4c10d28a70b2fef6',1,'i2s.h']]],
['i2s_5frxctrl_5fextend_5fzero_5fval_4965',['I2S_RXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a8d87ac641c726722fa04c7dbe206968d',1,'i2s.h']]],
['i2s_5frxctrl_5fmask_4966',['I2S_RXCTRL_MASK',['../component_2i2s_8h.html#a6d8503b0dc93eb0901b6dc1618ffc716',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fmono_5fval_4967',['I2S_RXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#adeb03f3d85e233df41c7bf9dc1815efd',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fpos_4968',['I2S_RXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac84304637c46e1c504eab48099e2a5d5',1,'i2s.h']]],
['i2s_5frxctrl_5fmono_5fstereo_5fval_4969',['I2S_RXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a57b383a9544d35949f9c541d14f9f0cd',1,'i2s.h']]],
['i2s_5frxctrl_5foffset_4970',['I2S_RXCTRL_OFFSET',['../component_2i2s_8h.html#a20ef159c381b0d3f9bdcb88e22dac048',1,'i2s.h']]],
['i2s_5frxctrl_5fresetvalue_4971',['I2S_RXCTRL_RESETVALUE',['../component_2i2s_8h.html#a1d4fd8bc89985b67f1c3e567d8e235f0',1,'i2s.h']]],
['i2s_5frxctrl_5frxloop_5fpos_4972',['I2S_RXCTRL_RXLOOP_Pos',['../component_2i2s_8h.html#a7efe036f26ad1909176af6ebc151a430',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpdm2_5fval_4973',['I2S_RXCTRL_SERMODE_PDM2_Val',['../component_2i2s_8h.html#a6f10754a9b32ad10f3cb4d7483e2ca49',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5fpos_4974',['I2S_RXCTRL_SERMODE_Pos',['../component_2i2s_8h.html#a0e3aefa2716526f84eedd3de813c7470',1,'i2s.h']]],
['i2s_5frxctrl_5fsermode_5frx_5fval_4975',['I2S_RXCTRL_SERMODE_RX_Val',['../component_2i2s_8h.html#a8b3f26e178ed08e633d945067b4a7249',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fleft_5fval_4976',['I2S_RXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#adb489860994fc1b15e98af9b5d043ab0',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fpos_4977',['I2S_RXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#abb4d28db80499ecd44a89f9719b78a65',1,'i2s.h']]],
['i2s_5frxctrl_5fslotadj_5fright_5fval_4978',['I2S_RXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#a9062e73bee32d548de7e9f5d5d45a917',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis0_5fpos_4979',['I2S_RXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a1bea8cedd534e39f72a7856fcd4df913',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis1_5fpos_4980',['I2S_RXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#a33eb8ff4391f73c9c0a29c3999d8bc0c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis2_5fpos_4981',['I2S_RXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a96d36b7da56a1dc773afbe44b17ee4d5',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis3_5fpos_4982',['I2S_RXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#a4bf54a466964d32665c1e561a62b682c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis4_5fpos_4983',['I2S_RXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#a3b7cfb3be624e94a4a9fbbeb4cf6ae6c',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis5_5fpos_4984',['I2S_RXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a0cc263101bbc164ee3073843c512b799',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis6_5fpos_4985',['I2S_RXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#ad8c4ff976e4aef052e9c504ba2fe9eb6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis7_5fpos_4986',['I2S_RXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#ae2ed8f19af21a758099dc050e97099b6',1,'i2s.h']]],
['i2s_5frxctrl_5fslotdis_5fpos_4987',['I2S_RXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a8ea445e37a78507cae01076d7583ec8b',1,'i2s.h']]],
['i2s_5frxctrl_5ftype_4988',['I2S_RXCTRL_Type',['../unionI2S__RXCTRL__Type.html',1,'']]],
['i2s_5frxctrl_5fwordadj_5fleft_5fval_4989',['I2S_RXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#a59746517d26d97df6ad8e3b6317bd2ad',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fpos_4990',['I2S_RXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#a40c17f1a66744acba8807f3655deca3f',1,'i2s.h']]],
['i2s_5frxctrl_5fwordadj_5fright_5fval_4991',['I2S_RXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#a0ef32bad8115a443570b008e0a89a77a',1,'i2s.h']]],
['i2s_5frxdata_5fdata_5fpos_4992',['I2S_RXDATA_DATA_Pos',['../component_2i2s_8h.html#a24e58dacf0ef2e194c53418b1d3949cc',1,'i2s.h']]],
['i2s_5frxdata_5fmask_4993',['I2S_RXDATA_MASK',['../component_2i2s_8h.html#a0cbe951f14e6321ea6adfe5cbf1c3a18',1,'i2s.h']]],
['i2s_5frxdata_5foffset_4994',['I2S_RXDATA_OFFSET',['../component_2i2s_8h.html#a86a01925f507e5d8cfaf8d2dbd95aca5',1,'i2s.h']]],
['i2s_5frxdata_5fresetvalue_4995',['I2S_RXDATA_RESETVALUE',['../component_2i2s_8h.html#abed90c12d2497812fff0fba07c6d2823',1,'i2s.h']]],
['i2s_5frxdata_5ftype_4996',['I2S_RXDATA_Type',['../unionI2S__RXDATA__Type.html',1,'']]],
['i2s_5fsyncbusy_5fcken0_5fpos_4997',['I2S_SYNCBUSY_CKEN0_Pos',['../component_2i2s_8h.html#aad9165400f5446588625f6c8f431ea03',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken1_5fpos_4998',['I2S_SYNCBUSY_CKEN1_Pos',['../component_2i2s_8h.html#ade7d604973323633d18920cbc18ad843',1,'i2s.h']]],
['i2s_5fsyncbusy_5fcken_5fpos_4999',['I2S_SYNCBUSY_CKEN_Pos',['../component_2i2s_8h.html#a923903cb1b27cc2aef70f3cb8417f5fb',1,'i2s.h']]],
['i2s_5fsyncbusy_5fenable_5fpos_5000',['I2S_SYNCBUSY_ENABLE_Pos',['../component_2i2s_8h.html#a783d665b2de37a5eab0a52f8c7ff3d2d',1,'i2s.h']]],
['i2s_5fsyncbusy_5fmask_5001',['I2S_SYNCBUSY_MASK',['../component_2i2s_8h.html#a662c1a0e01af60d4d9bcd3974cbea0c8',1,'i2s.h']]],
['i2s_5fsyncbusy_5foffset_5002',['I2S_SYNCBUSY_OFFSET',['../component_2i2s_8h.html#a0cd04094390fbef4453649aee09aa9d4',1,'i2s.h']]],
['i2s_5fsyncbusy_5fresetvalue_5003',['I2S_SYNCBUSY_RESETVALUE',['../component_2i2s_8h.html#a6056c0d1259c0880975992ee251302eb',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxdata_5fpos_5004',['I2S_SYNCBUSY_RXDATA_Pos',['../component_2i2s_8h.html#ab8d696fef77572b1fec4333606cbd456',1,'i2s.h']]],
['i2s_5fsyncbusy_5frxen_5fpos_5005',['I2S_SYNCBUSY_RXEN_Pos',['../component_2i2s_8h.html#a1b6cc3cec4808e223738c1fede7d8c89',1,'i2s.h']]],
['i2s_5fsyncbusy_5fswrst_5fpos_5006',['I2S_SYNCBUSY_SWRST_Pos',['../component_2i2s_8h.html#a0735d318b474ea6b9e13243f244300d7',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxdata_5fpos_5007',['I2S_SYNCBUSY_TXDATA_Pos',['../component_2i2s_8h.html#a684051dd31b98aba39a2b19f2bb434ac',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftxen_5fpos_5008',['I2S_SYNCBUSY_TXEN_Pos',['../component_2i2s_8h.html#a5c5a708036d8cdf1223e5094eef9fe65',1,'i2s.h']]],
['i2s_5fsyncbusy_5ftype_5009',['I2S_SYNCBUSY_Type',['../unionI2S__SYNCBUSY__Type.html',1,'']]],
['i2s_5ftxctrl_5fbitrev_5flsbit_5fval_5010',['I2S_TXCTRL_BITREV_LSBIT_Val',['../component_2i2s_8h.html#a349219787fd6652c900c28fc6c91431b',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fmsbit_5fval_5011',['I2S_TXCTRL_BITREV_MSBIT_Val',['../component_2i2s_8h.html#af740bf2aac1cb1d02e41ff8c45f505d8',1,'i2s.h']]],
['i2s_5ftxctrl_5fbitrev_5fpos_5012',['I2S_TXCTRL_BITREV_Pos',['../component_2i2s_8h.html#ae1b975bebe4775f0477e68de4bd61c59',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16_5fval_5013',['I2S_TXCTRL_DATASIZE_16_Val',['../component_2i2s_8h.html#a1f8d8000e3d65bc9b0013ba93063ba04',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f16c_5fval_5014',['I2S_TXCTRL_DATASIZE_16C_Val',['../component_2i2s_8h.html#a18f6eada090015088f017e5d71b80445',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f18_5fval_5015',['I2S_TXCTRL_DATASIZE_18_Val',['../component_2i2s_8h.html#a91f0b3e7f8dff05a5489498a6e718029',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f20_5fval_5016',['I2S_TXCTRL_DATASIZE_20_Val',['../component_2i2s_8h.html#a2198ddb85fd954f54de0b36e05e1fb86',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f24_5fval_5017',['I2S_TXCTRL_DATASIZE_24_Val',['../component_2i2s_8h.html#a21e35459fb472fc624e08cf933b72409',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f32_5fval_5018',['I2S_TXCTRL_DATASIZE_32_Val',['../component_2i2s_8h.html#a7b82c3e309628130ac1ff7b1090a11c6',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8_5fval_5019',['I2S_TXCTRL_DATASIZE_8_Val',['../component_2i2s_8h.html#a85a355f001735cad1c8ea4cd34df1484',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5f8c_5fval_5020',['I2S_TXCTRL_DATASIZE_8C_Val',['../component_2i2s_8h.html#a855a9dc60589498c0ad6e12524b39997',1,'i2s.h']]],
['i2s_5ftxctrl_5fdatasize_5fpos_5021',['I2S_TXCTRL_DATASIZE_Pos',['../component_2i2s_8h.html#a28c993de802c402e5792c940a7a1d467',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fmultiple_5fval_5022',['I2S_TXCTRL_DMA_MULTIPLE_Val',['../component_2i2s_8h.html#a2be75d9e42c8f9c11c685455bb1c7420',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fpos_5023',['I2S_TXCTRL_DMA_Pos',['../component_2i2s_8h.html#a4244640d48bf5c939ac301c968669526',1,'i2s.h']]],
['i2s_5ftxctrl_5fdma_5fsingle_5fval_5024',['I2S_TXCTRL_DMA_SINGLE_Val',['../component_2i2s_8h.html#a46dda93f82c55912a66658f122b43bcf',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5flsbit_5fval_5025',['I2S_TXCTRL_EXTEND_LSBIT_Val',['../component_2i2s_8h.html#a459c8299453f5ac1166fc16ba024b6ef',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fmsbit_5fval_5026',['I2S_TXCTRL_EXTEND_MSBIT_Val',['../component_2i2s_8h.html#a43d0477c416edaf7c8facf7b61d62f74',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fone_5fval_5027',['I2S_TXCTRL_EXTEND_ONE_Val',['../component_2i2s_8h.html#a1f21ff99cc1e6fd0a764600b1471362c',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fpos_5028',['I2S_TXCTRL_EXTEND_Pos',['../component_2i2s_8h.html#a37b2fd7565e71d4177be7fb170dbd7cb',1,'i2s.h']]],
['i2s_5ftxctrl_5fextend_5fzero_5fval_5029',['I2S_TXCTRL_EXTEND_ZERO_Val',['../component_2i2s_8h.html#a89b72a65da6a321eb0fbcac9fc8cbdb7',1,'i2s.h']]],
['i2s_5ftxctrl_5fmask_5030',['I2S_TXCTRL_MASK',['../component_2i2s_8h.html#aec1e6da19a9a67218389ab7091287328',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fmono_5fval_5031',['I2S_TXCTRL_MONO_MONO_Val',['../component_2i2s_8h.html#abcc79cb421297fcc9d0129dd4558c0d6',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fpos_5032',['I2S_TXCTRL_MONO_Pos',['../component_2i2s_8h.html#ac6cb93d5503a1e116a386f6f6ef55c49',1,'i2s.h']]],
['i2s_5ftxctrl_5fmono_5fstereo_5fval_5033',['I2S_TXCTRL_MONO_STEREO_Val',['../component_2i2s_8h.html#a71cdc71680ce9ff486d264e3d2ed8ded',1,'i2s.h']]],
['i2s_5ftxctrl_5foffset_5034',['I2S_TXCTRL_OFFSET',['../component_2i2s_8h.html#a2e34bcec02ecf6a95b16d232d03f82f1',1,'i2s.h']]],
['i2s_5ftxctrl_5fresetvalue_5035',['I2S_TXCTRL_RESETVALUE',['../component_2i2s_8h.html#a569f1702a598fdee2c10aabfa7ef510b',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fleft_5fval_5036',['I2S_TXCTRL_SLOTADJ_LEFT_Val',['../component_2i2s_8h.html#a3b6b42c52ca38d5dbccb44477f774191',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fpos_5037',['I2S_TXCTRL_SLOTADJ_Pos',['../component_2i2s_8h.html#a2dcef89c94502331d72c7be7d49a0715',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotadj_5fright_5fval_5038',['I2S_TXCTRL_SLOTADJ_RIGHT_Val',['../component_2i2s_8h.html#af6c8aad73c238dacb355279b61a5a865',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis0_5fpos_5039',['I2S_TXCTRL_SLOTDIS0_Pos',['../component_2i2s_8h.html#a5b37220966a55f4c97e447b5b5a4d5f5',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis1_5fpos_5040',['I2S_TXCTRL_SLOTDIS1_Pos',['../component_2i2s_8h.html#aebb22b299317c3b746d176b6b3c37a10',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis2_5fpos_5041',['I2S_TXCTRL_SLOTDIS2_Pos',['../component_2i2s_8h.html#a926aa8edd873ca289a6266bdb3a6948d',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis3_5fpos_5042',['I2S_TXCTRL_SLOTDIS3_Pos',['../component_2i2s_8h.html#aa6b570d5fe4470934981ba98cdcf7131',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis4_5fpos_5043',['I2S_TXCTRL_SLOTDIS4_Pos',['../component_2i2s_8h.html#aad4cd0b91555f9e7fee10efcabf1b789',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis5_5fpos_5044',['I2S_TXCTRL_SLOTDIS5_Pos',['../component_2i2s_8h.html#a7fa7b3f8c3a8b5f73a21e8e23ec10bac',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis6_5fpos_5045',['I2S_TXCTRL_SLOTDIS6_Pos',['../component_2i2s_8h.html#a33c62bb9e584f109e68e5d3f3c31bb5f',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis7_5fpos_5046',['I2S_TXCTRL_SLOTDIS7_Pos',['../component_2i2s_8h.html#a1ebbc3f080e98c930bc2c135d1790eeb',1,'i2s.h']]],
['i2s_5ftxctrl_5fslotdis_5fpos_5047',['I2S_TXCTRL_SLOTDIS_Pos',['../component_2i2s_8h.html#a2bb7b4f5c569e1af3c107e942ee93f47',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fhiz_5fval_5048',['I2S_TXCTRL_TXDEFAULT_HIZ_Val',['../component_2i2s_8h.html#aa8f2f87914dcc6dd6b74ff20d9a39b28',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fone_5fval_5049',['I2S_TXCTRL_TXDEFAULT_ONE_Val',['../component_2i2s_8h.html#a6b44b19936325c1879d3bd75cbbc29d4',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fpos_5050',['I2S_TXCTRL_TXDEFAULT_Pos',['../component_2i2s_8h.html#a4ee81fab5c877046d73633a914329bec',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxdefault_5fzero_5fval_5051',['I2S_TXCTRL_TXDEFAULT_ZERO_Val',['../component_2i2s_8h.html#abf5713a735b7914ddc5f2ed65255e99a',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fpos_5052',['I2S_TXCTRL_TXSAME_Pos',['../component_2i2s_8h.html#ae7ee47675fb5e76abf6dbe14f7699155',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fsame_5fval_5053',['I2S_TXCTRL_TXSAME_SAME_Val',['../component_2i2s_8h.html#a56aa36e07182679fe675b2ccb45ef744',1,'i2s.h']]],
['i2s_5ftxctrl_5ftxsame_5fzero_5fval_5054',['I2S_TXCTRL_TXSAME_ZERO_Val',['../component_2i2s_8h.html#a8c9daea34ac95e8251a0c0d9c6f4fd3b',1,'i2s.h']]],
['i2s_5ftxctrl_5ftype_5055',['I2S_TXCTRL_Type',['../unionI2S__TXCTRL__Type.html',1,'']]],
['i2s_5ftxctrl_5fwordadj_5fleft_5fval_5056',['I2S_TXCTRL_WORDADJ_LEFT_Val',['../component_2i2s_8h.html#aa50789c82a9bf9c026deb554b92cb193',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fpos_5057',['I2S_TXCTRL_WORDADJ_Pos',['../component_2i2s_8h.html#acf308742ff7a9389d9f995323f248991',1,'i2s.h']]],
['i2s_5ftxctrl_5fwordadj_5fright_5fval_5058',['I2S_TXCTRL_WORDADJ_RIGHT_Val',['../component_2i2s_8h.html#aeda58124b748aa45852fa476b80e9154',1,'i2s.h']]],
['i2s_5ftxdata_5fdata_5fpos_5059',['I2S_TXDATA_DATA_Pos',['../component_2i2s_8h.html#afbc4a3c6619ccc1fc90e94e9ef78bb55',1,'i2s.h']]],
['i2s_5ftxdata_5fmask_5060',['I2S_TXDATA_MASK',['../component_2i2s_8h.html#a6f307db1494c5c7e1d21bb43ad8c756c',1,'i2s.h']]],
['i2s_5ftxdata_5foffset_5061',['I2S_TXDATA_OFFSET',['../component_2i2s_8h.html#ada12d7efed336775d229dfe14c65a307',1,'i2s.h']]],
['i2s_5ftxdata_5fresetvalue_5062',['I2S_TXDATA_RESETVALUE',['../component_2i2s_8h.html#ada26aa0aeee2b7e61667114cd23d9dff',1,'i2s.h']]],
['i2s_5ftxdata_5ftype_5063',['I2S_TXDATA_Type',['../unionI2S__TXDATA__Type.html',1,'']]],
['ibon_5064',['IBON',['../unionSERCOM__SPI__CTRLA__Type.html#a12b7f7e247b546ca3e7092d2535ee378',1,'SERCOM_SPI_CTRLA_Type::IBON()'],['../unionSERCOM__USART__CTRLA__Type.html#a683a86341becaf2b561042539d396531',1,'SERCOM_USART_CTRLA_Type::IBON()']]],
['icache_5065',['ICACHE',['../structPicop.html#a225dc570334332dfa9db45ffc8ae5184',1,'Picop']]],
['icachelru_5066',['ICACHELRU',['../structPicop.html#ae63b2539dcc65e1c490e891d7f098130',1,'Picop']]],
['icdis_5067',['ICDIS',['../unionCMCC__CFG__Type.html#a9907cf806f1913b0275fb4b88eb0ab2b',1,'CMCC_CFG_Type']]],
['icm_5068',['Icm',['../structIcm.html',1,'Icm'],['../same54n19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM(): same54n19a.h'],['../same54n20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM(): same54n20a.h'],['../same54p19a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM(): same54p19a.h'],['../same54p20a_8h.html#a382fba09abb5ecda0a614dc9d2c1d900',1,'ICM(): same54p20a.h']]],
['icm_2eh_5069',['icm.h',['../component_2icm_8h.html',1,'(Global Namespace)'],['../instance_2icm_8h.html',1,'(Global Namespace)']]],
['icm_5f_5070',['ICM_',['../unionMCLK__AHBMASK__Type.html#ac0e6385fd889b2c8a3ca8aee32e8e40f',1,'MCLK_AHBMASK_Type::ICM_()'],['../unionMCLK__APBCMASK__Type.html#a33091b2a25cc2491f2d1e0e6ed7e226c',1,'MCLK_APBCMASK_Type::ICM_()'],['../unionPAC__INTFLAGC__Type.html#ae4036404a026fc2d5612b47f60c43b5f',1,'PAC_INTFLAGC_Type::ICM_()'],['../unionPAC__STATUSC__Type.html#a1302faa1167eb462db8b9bbf68c6612a',1,'PAC_STATUSC_Type::ICM_()']]],
['icm_5fcfg_5fascd_5fpos_5071',['ICM_CFG_ASCD_Pos',['../component_2icm_8h.html#ad29b54b75150e57bf7d1a111fac647ae',1,'icm.h']]],
['icm_5fcfg_5fbbc_5fpos_5072',['ICM_CFG_BBC_Pos',['../component_2icm_8h.html#a3cae93384d33560349acf73d4b22bf0e',1,'icm.h']]],
['icm_5fcfg_5fdaprot_5fpos_5073',['ICM_CFG_DAPROT_Pos',['../component_2icm_8h.html#a7ecb53908baace833e344d41f093a019',1,'icm.h']]],
['icm_5fcfg_5fdualbuff_5fpos_5074',['ICM_CFG_DUALBUFF_Pos',['../component_2icm_8h.html#ac52bba2dade55791c7f3f9e0d7798f11',1,'icm.h']]],
['icm_5fcfg_5feomdis_5fpos_5075',['ICM_CFG_EOMDIS_Pos',['../component_2icm_8h.html#a3abe16e9d1c06ff65f39451f750e12a0',1,'icm.h']]],
['icm_5fcfg_5fhaprot_5fpos_5076',['ICM_CFG_HAPROT_Pos',['../component_2icm_8h.html#a1ae2704bd4144e343bb74b38ac78b3ac',1,'icm.h']]],
['icm_5fcfg_5fmask_5077',['ICM_CFG_MASK',['../component_2icm_8h.html#aa7c7aef94098d37933d3b4749d85c273',1,'icm.h']]],
['icm_5fcfg_5foffset_5078',['ICM_CFG_OFFSET',['../component_2icm_8h.html#ac6866ddc4fb95c6777054e2680cceafa',1,'icm.h']]],
['icm_5fcfg_5fresetvalue_5079',['ICM_CFG_RESETVALUE',['../component_2icm_8h.html#a6c0be59e386996dd14bf1d7170bdc1f0',1,'icm.h']]],
['icm_5fcfg_5fslbdis_5fpos_5080',['ICM_CFG_SLBDIS_Pos',['../component_2icm_8h.html#ad16d77291ad1907e6c174b2cce9ee20b',1,'icm.h']]],
['icm_5fcfg_5ftype_5081',['ICM_CFG_Type',['../unionICM__CFG__Type.html',1,'']]],
['icm_5fcfg_5fualgo_5fpos_5082',['ICM_CFG_UALGO_Pos',['../component_2icm_8h.html#a871c0a962e1002722d833ddc094ee8ba',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha1_5fval_5083',['ICM_CFG_UALGO_SHA1_Val',['../component_2icm_8h.html#a4a55349d832a22cf10ef7086bcd5433a',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha224_5fval_5084',['ICM_CFG_UALGO_SHA224_Val',['../component_2icm_8h.html#aba949cdba674ffaabd49f6a0e82704b5',1,'icm.h']]],
['icm_5fcfg_5fualgo_5fsha256_5fval_5085',['ICM_CFG_UALGO_SHA256_Val',['../component_2icm_8h.html#aa54e8f927cddf27b744d34b8fa0e8a55',1,'icm.h']]],
['icm_5fcfg_5fuihash_5fpos_5086',['ICM_CFG_UIHASH_Pos',['../component_2icm_8h.html#a6309ed3bb29f9216ea38f50f285b9fd6',1,'icm.h']]],
['icm_5fcfg_5fwbdis_5fpos_5087',['ICM_CFG_WBDIS_Pos',['../component_2icm_8h.html#a8fd396cef38fa3bbf24aa3cedba0b64e',1,'icm.h']]],
['icm_5fctrl_5fdisable_5fpos_5088',['ICM_CTRL_DISABLE_Pos',['../component_2icm_8h.html#a87556afcda260041c14059aff9ed6400',1,'icm.h']]],
['icm_5fctrl_5fenable_5fpos_5089',['ICM_CTRL_ENABLE_Pos',['../component_2icm_8h.html#a1bde4faecd154cb546720b6728f8a6a6',1,'icm.h']]],
['icm_5fctrl_5fmask_5090',['ICM_CTRL_MASK',['../component_2icm_8h.html#adbfb9318f932c01f8bd4a82a8223dc2f',1,'icm.h']]],
['icm_5fctrl_5foffset_5091',['ICM_CTRL_OFFSET',['../component_2icm_8h.html#a8b8caff6f133f4bd477f687e387528c8',1,'icm.h']]],
['icm_5fctrl_5frehash_5fpos_5092',['ICM_CTRL_REHASH_Pos',['../component_2icm_8h.html#a06f438c49292df7b6e9895461b4aa9bf',1,'icm.h']]],
['icm_5fctrl_5frmdis_5fpos_5093',['ICM_CTRL_RMDIS_Pos',['../component_2icm_8h.html#a5ab7756bdf89b979b96533438a7acdc1',1,'icm.h']]],
['icm_5fctrl_5frmen_5fpos_5094',['ICM_CTRL_RMEN_Pos',['../component_2icm_8h.html#a8f148e5a6865c61070b8579ddaae007c',1,'icm.h']]],
['icm_5fctrl_5fswrst_5fpos_5095',['ICM_CTRL_SWRST_Pos',['../component_2icm_8h.html#a660b9f23b03a1bfe1b86910b7688f5f6',1,'icm.h']]],
['icm_5fctrl_5ftype_5096',['ICM_CTRL_Type',['../unionICM__CTRL__Type.html',1,'']]],
['icm_5fdscr_5fdasa_5fpos_5097',['ICM_DSCR_DASA_Pos',['../component_2icm_8h.html#a383d719038483930302846b1ed1df420',1,'icm.h']]],
['icm_5fdscr_5fmask_5098',['ICM_DSCR_MASK',['../component_2icm_8h.html#ae705a66d854dc37c052eb1d184dd6bad',1,'icm.h']]],
['icm_5fdscr_5foffset_5099',['ICM_DSCR_OFFSET',['../component_2icm_8h.html#a3550fcc8833e477aa50edf2706dbb132',1,'icm.h']]],
['icm_5fdscr_5fresetvalue_5100',['ICM_DSCR_RESETVALUE',['../component_2icm_8h.html#aaded598f48356cbb28029720b62c8ffa',1,'icm.h']]],
['icm_5fdscr_5ftype_5101',['ICM_DSCR_Type',['../unionICM__DSCR__Type.html',1,'']]],
['icm_5fhash_5fhasa_5fpos_5102',['ICM_HASH_HASA_Pos',['../component_2icm_8h.html#ade058a8352ff18ae5b813f5f66de3bb4',1,'icm.h']]],
['icm_5fhash_5fmask_5103',['ICM_HASH_MASK',['../component_2icm_8h.html#ac30dc1ad19378c908a800e224080c7d1',1,'icm.h']]],
['icm_5fhash_5foffset_5104',['ICM_HASH_OFFSET',['../component_2icm_8h.html#aca2c3512ee6410914bc8a3c40fb25a6d',1,'icm.h']]],
['icm_5fhash_5fresetvalue_5105',['ICM_HASH_RESETVALUE',['../component_2icm_8h.html#a371bf6f011243ff620af2351c0b99a2a',1,'icm.h']]],
['icm_5fhash_5ftype_5106',['ICM_HASH_Type',['../unionICM__HASH__Type.html',1,'']]],
['icm_5fidr_5fmask_5107',['ICM_IDR_MASK',['../component_2icm_8h.html#a043cc9e91b9a8b8e9f6dce045ec279d9',1,'icm.h']]],
['icm_5fidr_5foffset_5108',['ICM_IDR_OFFSET',['../component_2icm_8h.html#aee1fe1d5f91f39d79f20ca5c85c6ee42',1,'icm.h']]],
['icm_5fidr_5frbe_5fpos_5109',['ICM_IDR_RBE_Pos',['../component_2icm_8h.html#ab955680edc02a5e22e255418df4c9255',1,'icm.h']]],
['icm_5fidr_5frdm_5fpos_5110',['ICM_IDR_RDM_Pos',['../component_2icm_8h.html#a82d539f4b6b69760806364b1e66ac299',1,'icm.h']]],
['icm_5fidr_5frec_5fpos_5111',['ICM_IDR_REC_Pos',['../component_2icm_8h.html#a002c6c31230b5a572c7688474cc6ddf8',1,'icm.h']]],
['icm_5fidr_5fresetvalue_5112',['ICM_IDR_RESETVALUE',['../component_2icm_8h.html#a107e55771afc5d9461f68db340cbcdb2',1,'icm.h']]],
['icm_5fidr_5frhc_5fpos_5113',['ICM_IDR_RHC_Pos',['../component_2icm_8h.html#a88d0785074f9666841e88af3767bb43a',1,'icm.h']]],
['icm_5fidr_5frsu_5fpos_5114',['ICM_IDR_RSU_Pos',['../component_2icm_8h.html#a6b3681ffa45121a4b9178108df8fb9c0',1,'icm.h']]],
['icm_5fidr_5frwc_5fpos_5115',['ICM_IDR_RWC_Pos',['../component_2icm_8h.html#a6acd2c6a5fc257c89374ed306704e247',1,'icm.h']]],
['icm_5fidr_5ftype_5116',['ICM_IDR_Type',['../unionICM__IDR__Type.html',1,'']]],
['icm_5fidr_5furad_5fpos_5117',['ICM_IDR_URAD_Pos',['../component_2icm_8h.html#a19ea7b9b6e2156759deebd8b2d08fa29',1,'icm.h']]],
['icm_5fier_5fmask_5118',['ICM_IER_MASK',['../component_2icm_8h.html#a0b5e59e65e296c6645808db627cf291c',1,'icm.h']]],
['icm_5fier_5foffset_5119',['ICM_IER_OFFSET',['../component_2icm_8h.html#a91bc75f2722c14e384e97b354d959bd9',1,'icm.h']]],
['icm_5fier_5frbe_5fpos_5120',['ICM_IER_RBE_Pos',['../component_2icm_8h.html#ac930ff35c8fef14b84526778ca6af303',1,'icm.h']]],
['icm_5fier_5frdm_5fpos_5121',['ICM_IER_RDM_Pos',['../component_2icm_8h.html#a3ed262b27af0532b7380f6b1aca1cdeb',1,'icm.h']]],
['icm_5fier_5frec_5fpos_5122',['ICM_IER_REC_Pos',['../component_2icm_8h.html#a64133746185ba94d7173aec5184be79e',1,'icm.h']]],
['icm_5fier_5frhc_5fpos_5123',['ICM_IER_RHC_Pos',['../component_2icm_8h.html#a374b2adba5e70a052345ffd8d0791ab8',1,'icm.h']]],
['icm_5fier_5frsu_5fpos_5124',['ICM_IER_RSU_Pos',['../component_2icm_8h.html#a86c0a0188fa534ab0346ad2fe182d9e7',1,'icm.h']]],
['icm_5fier_5frwc_5fpos_5125',['ICM_IER_RWC_Pos',['../component_2icm_8h.html#ae4de540f1bb6664b9d48a55a7ce9540a',1,'icm.h']]],
['icm_5fier_5ftype_5126',['ICM_IER_Type',['../unionICM__IER__Type.html',1,'']]],
['icm_5fier_5furad_5fpos_5127',['ICM_IER_URAD_Pos',['../component_2icm_8h.html#adffee19b11b0bf0270b929ae06d92665',1,'icm.h']]],
['icm_5fimr_5fmask_5128',['ICM_IMR_MASK',['../component_2icm_8h.html#a4754d4b07a25873def8dcd5026f9fa0d',1,'icm.h']]],
['icm_5fimr_5foffset_5129',['ICM_IMR_OFFSET',['../component_2icm_8h.html#afae17a93f3662fb5a0836ef14dfd2c2c',1,'icm.h']]],
['icm_5fimr_5frbe_5fpos_5130',['ICM_IMR_RBE_Pos',['../component_2icm_8h.html#aaaf8635401239917ebdfbc31ca13200e',1,'icm.h']]],
['icm_5fimr_5frdm_5fpos_5131',['ICM_IMR_RDM_Pos',['../component_2icm_8h.html#a3921601739425742942544922713f4ab',1,'icm.h']]],
['icm_5fimr_5frec_5fpos_5132',['ICM_IMR_REC_Pos',['../component_2icm_8h.html#aa34e17abd6b2fb112f014dc6ab39a5da',1,'icm.h']]],
['icm_5fimr_5fresetvalue_5133',['ICM_IMR_RESETVALUE',['../component_2icm_8h.html#a2837dd779d05d40aab13415472fd372c',1,'icm.h']]],
['icm_5fimr_5frhc_5fpos_5134',['ICM_IMR_RHC_Pos',['../component_2icm_8h.html#a95c48bcbce839847a3eb4c9ceebd2e2f',1,'icm.h']]],
['icm_5fimr_5frsu_5fpos_5135',['ICM_IMR_RSU_Pos',['../component_2icm_8h.html#a5e965edfba33ccaa8724d5d768343a91',1,'icm.h']]],
['icm_5fimr_5frwc_5fpos_5136',['ICM_IMR_RWC_Pos',['../component_2icm_8h.html#a36e24dd455f0ed97d38ecfa12427244b',1,'icm.h']]],
['icm_5fimr_5ftype_5137',['ICM_IMR_Type',['../unionICM__IMR__Type.html',1,'']]],
['icm_5fimr_5furad_5fpos_5138',['ICM_IMR_URAD_Pos',['../component_2icm_8h.html#a2167976906f6bef62a45720757245c54',1,'icm.h']]],
['icm_5finst_5fnum_5139',['ICM_INST_NUM',['../same54n19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM(): same54n19a.h'],['../same54n20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM(): same54n20a.h'],['../same54p19a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM(): same54p19a.h'],['../same54p20a_8h.html#a7bfc94955afe70019df261299aeb31fe',1,'ICM_INST_NUM(): same54p20a.h']]],
['icm_5finsts_5140',['ICM_INSTS',['../same54n19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS(): same54n19a.h'],['../same54n20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS(): same54n20a.h'],['../same54p19a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS(): same54p19a.h'],['../same54p20a_8h.html#a5a99c34f6232c0b2eec181db303d13f3',1,'ICM_INSTS(): same54p20a.h']]],
['icm_5firqn_5141',['ICM_IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn(): same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn(): same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn(): same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083aeb4b5ec2393d2d776203fc0134b84d80',1,'ICM_IRQn(): same54p20a.h']]],
['icm_5fisr_5fmask_5142',['ICM_ISR_MASK',['../component_2icm_8h.html#ae13faba87f9349246a833f23bf312ab3',1,'icm.h']]],
['icm_5fisr_5foffset_5143',['ICM_ISR_OFFSET',['../component_2icm_8h.html#a9f5925c508c4935ef60134e432b649bd',1,'icm.h']]],
['icm_5fisr_5frbe_5fpos_5144',['ICM_ISR_RBE_Pos',['../component_2icm_8h.html#a4a92d77ddb0c05b189351d3f7743e153',1,'icm.h']]],
['icm_5fisr_5frdm_5fpos_5145',['ICM_ISR_RDM_Pos',['../component_2icm_8h.html#a0c309772de04b613b38fa7267ef27352',1,'icm.h']]],
['icm_5fisr_5frec_5fpos_5146',['ICM_ISR_REC_Pos',['../component_2icm_8h.html#a8ba468532d5265969bc7c28c2a090aba',1,'icm.h']]],
['icm_5fisr_5fresetvalue_5147',['ICM_ISR_RESETVALUE',['../component_2icm_8h.html#a3b608434e49fcc38b1bdfe2d676f7fee',1,'icm.h']]],
['icm_5fisr_5frhc_5fpos_5148',['ICM_ISR_RHC_Pos',['../component_2icm_8h.html#ae0a365714ae6fafa290e70d9ba1d76a8',1,'icm.h']]],
['icm_5fisr_5frsu_5fpos_5149',['ICM_ISR_RSU_Pos',['../component_2icm_8h.html#a03062bb456a06371bb138896cf02abb4',1,'icm.h']]],
['icm_5fisr_5frwc_5fpos_5150',['ICM_ISR_RWC_Pos',['../component_2icm_8h.html#a3efdcea1c1ca9429fe1539c6e35b92be',1,'icm.h']]],
['icm_5fisr_5ftype_5151',['ICM_ISR_Type',['../unionICM__ISR__Type.html',1,'']]],
['icm_5fisr_5furad_5fpos_5152',['ICM_ISR_URAD_Pos',['../component_2icm_8h.html#a723a502c86a366f7b7c4a76220b0d5ad',1,'icm.h']]],
['icm_5fraddr_5fmask_5153',['ICM_RADDR_MASK',['../component_2icm_8h.html#a2559ac7ae6f814b9d3ebb21456906296',1,'icm.h']]],
['icm_5fraddr_5foffset_5154',['ICM_RADDR_OFFSET',['../component_2icm_8h.html#ac18ac78a1dd5e4f44ac00befd46768df',1,'icm.h']]],
['icm_5fraddr_5ftype_5155',['ICM_RADDR_Type',['../unionICM__RADDR__Type.html',1,'']]],
['icm_5frcfg_5falgo_5fpos_5156',['ICM_RCFG_ALGO_Pos',['../component_2icm_8h.html#a40410946a20c73b4ff812f218e00c4a9',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fdis_5fval_5157',['ICM_RCFG_BEIEN_DIS_Val',['../component_2icm_8h.html#a416b05ee3064a06e6714c39c84a3743c',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fen_5fval_5158',['ICM_RCFG_BEIEN_EN_Val',['../component_2icm_8h.html#a42e8415587115d089fcbcdafe6533f38',1,'icm.h']]],
['icm_5frcfg_5fbeien_5fpos_5159',['ICM_RCFG_BEIEN_Pos',['../component_2icm_8h.html#a0381e695ad906593d43e4d287db4e60a',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fcomp_5fval_5160',['ICM_RCFG_CDWBN_COMP_Val',['../component_2icm_8h.html#aa5d68d09c87e8d2bd5653f85d64e3bf8',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fpos_5161',['ICM_RCFG_CDWBN_Pos',['../component_2icm_8h.html#a71f6c0af57a8ac42d9058ba483944b78',1,'icm.h']]],
['icm_5frcfg_5fcdwbn_5fwrba_5fval_5162',['ICM_RCFG_CDWBN_WRBA_Val',['../component_2icm_8h.html#a0e22685e4f19a78d16b93b85efc2bc27',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fdis_5fval_5163',['ICM_RCFG_DMIEN_DIS_Val',['../component_2icm_8h.html#a6d9cbf08b1cd163af0df89b10b338805',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fen_5fval_5164',['ICM_RCFG_DMIEN_EN_Val',['../component_2icm_8h.html#ab4589e46754e30de0f092d3412b25249',1,'icm.h']]],
['icm_5frcfg_5fdmien_5fpos_5165',['ICM_RCFG_DMIEN_Pos',['../component_2icm_8h.html#aae886f572331cbc1168a2bc84132c0a1',1,'icm.h']]],
['icm_5frcfg_5fecien_5fdis_5fval_5166',['ICM_RCFG_ECIEN_DIS_Val',['../component_2icm_8h.html#ab65de4c284b1231a536c17d564762395',1,'icm.h']]],
['icm_5frcfg_5fecien_5fen_5fval_5167',['ICM_RCFG_ECIEN_EN_Val',['../component_2icm_8h.html#a13d7651e4d5409389761bcfa2316373b',1,'icm.h']]],
['icm_5frcfg_5fecien_5fpos_5168',['ICM_RCFG_ECIEN_Pos',['../component_2icm_8h.html#a8ac4db6725d4c8de0e9307e3e2f2db8d',1,'icm.h']]],
['icm_5frcfg_5feom_5fno_5fval_5169',['ICM_RCFG_EOM_NO_Val',['../component_2icm_8h.html#a5f3c11bb3541487235c3187e0c38fb61',1,'icm.h']]],
['icm_5frcfg_5feom_5fpos_5170',['ICM_RCFG_EOM_Pos',['../component_2icm_8h.html#a378a70850bed5e07aaac0167f9df3d46',1,'icm.h']]],
['icm_5frcfg_5feom_5fyes_5fval_5171',['ICM_RCFG_EOM_YES_Val',['../component_2icm_8h.html#a1a1088b38aae8888c106d13555d34af3',1,'icm.h']]],
['icm_5frcfg_5fmask_5172',['ICM_RCFG_MASK',['../component_2icm_8h.html#ad77dbc12d95eb7f4e0e5b7fc1f0b046f',1,'icm.h']]],
['icm_5frcfg_5fmrprot_5fpos_5173',['ICM_RCFG_MRPROT_Pos',['../component_2icm_8h.html#ae4de1a47aaf8c019c7632209c54c9c59',1,'icm.h']]],
['icm_5frcfg_5foffset_5174',['ICM_RCFG_OFFSET',['../component_2icm_8h.html#a67f79de608d5b8e84d411de33e935240',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5flong_5fval_5175',['ICM_RCFG_PROCDLY_LONG_Val',['../component_2icm_8h.html#ab0b9df5fc73c9a8c2bcab64439fa254a',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fpos_5176',['ICM_RCFG_PROCDLY_Pos',['../component_2icm_8h.html#a3e13a388bccb22544ce657252f3b2e2d',1,'icm.h']]],
['icm_5frcfg_5fprocdly_5fshort_5fval_5177',['ICM_RCFG_PROCDLY_SHORT_Val',['../component_2icm_8h.html#aa1f4324ca2234ac19d164b1e5abe2467',1,'icm.h']]],
['icm_5frcfg_5fresetvalue_5178',['ICM_RCFG_RESETVALUE',['../component_2icm_8h.html#ab3d05fadc1d7391c5928d61d01f452ab',1,'icm.h']]],
['icm_5frcfg_5frhien_5fdis_5fval_5179',['ICM_RCFG_RHIEN_DIS_Val',['../component_2icm_8h.html#ad894d7c173638dc69f098e012466d3a5',1,'icm.h']]],
['icm_5frcfg_5frhien_5fen_5fval_5180',['ICM_RCFG_RHIEN_EN_Val',['../component_2icm_8h.html#aa994909dd58ad3ec597214bc7380df51',1,'icm.h']]],
['icm_5frcfg_5frhien_5fpos_5181',['ICM_RCFG_RHIEN_Pos',['../component_2icm_8h.html#aeda75bcbe5bb53ce6eb7dac7cf7d6e36',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fdis_5fval_5182',['ICM_RCFG_SUIEN_DIS_Val',['../component_2icm_8h.html#ab8edc7ee8db3ba7f4932400a0409b74d',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fen_5fval_5183',['ICM_RCFG_SUIEN_EN_Val',['../component_2icm_8h.html#a0268ed9693d95c647210b8020ea96843',1,'icm.h']]],
['icm_5frcfg_5fsuien_5fpos_5184',['ICM_RCFG_SUIEN_Pos',['../component_2icm_8h.html#a969cfc7968ad883f793d387c349c7c7f',1,'icm.h']]],
['icm_5frcfg_5ftype_5185',['ICM_RCFG_Type',['../unionICM__RCFG__Type.html',1,'']]],
['icm_5frcfg_5fwcien_5fdis_5fval_5186',['ICM_RCFG_WCIEN_DIS_Val',['../component_2icm_8h.html#a2b9e12413cf8c0cd6a95ba8f9d37b989',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fen_5fval_5187',['ICM_RCFG_WCIEN_EN_Val',['../component_2icm_8h.html#a723dd9d27e9236455abc4e20723156ce',1,'icm.h']]],
['icm_5frcfg_5fwcien_5fpos_5188',['ICM_RCFG_WCIEN_Pos',['../component_2icm_8h.html#ae8a63272e72e6d77349025db92da5c37',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fno_5fval_5189',['ICM_RCFG_WRAP_NO_Val',['../component_2icm_8h.html#a4771e1b6ae80d212f7cd527552a7b22f',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fpos_5190',['ICM_RCFG_WRAP_Pos',['../component_2icm_8h.html#a3bcbf779a24e72844bc22ed8ba3497df',1,'icm.h']]],
['icm_5frcfg_5fwrap_5fyes_5fval_5191',['ICM_RCFG_WRAP_YES_Val',['../component_2icm_8h.html#a2cf4983c97fcbaa179a1a33daa80130d',1,'icm.h']]],
['icm_5frctrl_5fmask_5192',['ICM_RCTRL_MASK',['../component_2icm_8h.html#a71832030d6483370bd61ef1dc0da2485',1,'icm.h']]],
['icm_5frctrl_5foffset_5193',['ICM_RCTRL_OFFSET',['../component_2icm_8h.html#ac36cfcca3a33d950254519d142426644',1,'icm.h']]],
['icm_5frctrl_5ftrsize_5fpos_5194',['ICM_RCTRL_TRSIZE_Pos',['../component_2icm_8h.html#ab85029ba95d49e5ccab09dfd2b6766d3',1,'icm.h']]],
['icm_5frctrl_5ftype_5195',['ICM_RCTRL_Type',['../unionICM__RCTRL__Type.html',1,'']]],
['icm_5frnext_5fmask_5196',['ICM_RNEXT_MASK',['../component_2icm_8h.html#a20f4d7f0ba882b86ecf3a9445832eb1a',1,'icm.h']]],
['icm_5frnext_5foffset_5197',['ICM_RNEXT_OFFSET',['../component_2icm_8h.html#a4a03da3d8bbb8d80b133b85db1d501aa',1,'icm.h']]],
['icm_5frnext_5ftype_5198',['ICM_RNEXT_Type',['../unionICM__RNEXT__Type.html',1,'']]],
['icm_5fsr_5fenable_5fpos_5199',['ICM_SR_ENABLE_Pos',['../component_2icm_8h.html#acb5bbf14d430310febaa603c60618243',1,'icm.h']]],
['icm_5fsr_5fmask_5200',['ICM_SR_MASK',['../component_2icm_8h.html#a02420fe2fe0fbf37ed7a8b0b1396bc07',1,'icm.h']]],
['icm_5fsr_5foffset_5201',['ICM_SR_OFFSET',['../component_2icm_8h.html#a9eff34ae7607ecc48a2b05753b9e8529',1,'icm.h']]],
['icm_5fsr_5frawrmdis_5fpos_5202',['ICM_SR_RAWRMDIS_Pos',['../component_2icm_8h.html#a637bbb6a8cdf15f02e72cef1066a843a',1,'icm.h']]],
['icm_5fsr_5fresetvalue_5203',['ICM_SR_RESETVALUE',['../component_2icm_8h.html#a93904219d9a2e2c3af67a47ed1d7cef0',1,'icm.h']]],
['icm_5fsr_5frmdis_5fpos_5204',['ICM_SR_RMDIS_Pos',['../component_2icm_8h.html#a9ce3b97c60b7cd013e711c2f6df03e01',1,'icm.h']]],
['icm_5fsr_5ftype_5205',['ICM_SR_Type',['../unionICM__SR__Type.html',1,'']]],
['icm_5fuasr_5fmask_5206',['ICM_UASR_MASK',['../component_2icm_8h.html#addbb92795a033d99b4bd2464bd7bdf83',1,'icm.h']]],
['icm_5fuasr_5foffset_5207',['ICM_UASR_OFFSET',['../component_2icm_8h.html#a8924c31a7717ccb12cd67942441b5166',1,'icm.h']]],
['icm_5fuasr_5fresetvalue_5208',['ICM_UASR_RESETVALUE',['../component_2icm_8h.html#abd5b522a7cfd485b9e25a17b17546c39',1,'icm.h']]],
['icm_5fuasr_5ftype_5209',['ICM_UASR_Type',['../unionICM__UASR__Type.html',1,'']]],
['icm_5fuasr_5furat_5fcfg_5fmodified_5fval_5210',['ICM_UASR_URAT_CFG_MODIFIED_Val',['../component_2icm_8h.html#a0c98cdb9cd6e868aa31ebd3bdb44cc85',1,'icm.h']]],
['icm_5fuasr_5furat_5fdscr_5fmodified_5fval_5211',['ICM_UASR_URAT_DSCR_MODIFIED_Val',['../component_2icm_8h.html#aa9bda1fde7de8ee902ff6b17373ae771',1,'icm.h']]],
['icm_5fuasr_5furat_5fhash_5fmodified_5fval_5212',['ICM_UASR_URAT_HASH_MODIFIED_Val',['../component_2icm_8h.html#a770c08190dc88cc58e1bce72b19bf316',1,'icm.h']]],
['icm_5fuasr_5furat_5fpos_5213',['ICM_UASR_URAT_Pos',['../component_2icm_8h.html#a74e95221cafc6ba8165d2d60019581f4',1,'icm.h']]],
['icm_5fuasr_5furat_5fread_5faccess_5fval_5214',['ICM_UASR_URAT_READ_ACCESS_Val',['../component_2icm_8h.html#adf561d7956433dcd6002cbfb7235ad74',1,'icm.h']]],
['icm_5fuasr_5furat_5funspec_5fstruct_5fmember_5fval_5215',['ICM_UASR_URAT_UNSPEC_STRUCT_MEMBER_Val',['../component_2icm_8h.html#a0754f85597b05ee2fe3fcab99b8d4f63',1,'icm.h']]],
['icm_5fuihval_5fmask_5216',['ICM_UIHVAL_MASK',['../component_2icm_8h.html#a0242ef965585ba62297da1e30aa83be0',1,'icm.h']]],
['icm_5fuihval_5foffset_5217',['ICM_UIHVAL_OFFSET',['../component_2icm_8h.html#a18e9d8698391c20741c7696eca4677b5',1,'icm.h']]],
['icm_5fuihval_5fresetvalue_5218',['ICM_UIHVAL_RESETVALUE',['../component_2icm_8h.html#a3f8811fc8ed65a98f9ad4b37c4194aa1',1,'icm.h']]],
['icm_5fuihval_5ftype_5219',['ICM_UIHVAL_Type',['../unionICM__UIHVAL__Type.html',1,'']]],
['icm_5fuihval_5fval_5fpos_5220',['ICM_UIHVAL_VAL_Pos',['../component_2icm_8h.html#ad34d4dbc9035f963a3180993accd34a6',1,'icm.h']]],
['icmdescriptor_5221',['IcmDescriptor',['../structIcmDescriptor.html',1,'']]],
['icspace_5222',['ICSPACE',['../unionSERCOM__SPI__CTRLC__Type.html#aa603b6c22bbf9488aa26a4e196645d0e',1,'SERCOM_SPI_CTRLC_Type']]],
['id_5223',['ID',['../unionCAN__RXBE__0__Type.html#a47eeef3715da06bc2f0ed6a456cf63b1',1,'CAN_RXBE_0_Type::ID()'],['../unionCAN__RXF0E__0__Type.html#a133a14c96789684092ea4fdb01f24a01',1,'CAN_RXF0E_0_Type::ID()'],['../unionCAN__RXF1E__0__Type.html#a9a662a46946c6becfc07750e44f3ba0a',1,'CAN_RXF1E_0_Type::ID()'],['../unionCAN__TXBE__0__Type.html#a152759d9175c0541f3e8044483089fae',1,'CAN_TXBE_0_Type::ID()'],['../unionCAN__TXEFE__0__Type.html#ad81e719b89124d19a48d0323630d63c1',1,'CAN_TXEFE_0_Type::ID()'],['../unionDMAC__INTPEND__Type.html#ad1ab023859a9dd6e50f2debc79e11321',1,'DMAC_INTPEND_Type::ID()'],['../unionDMAC__ACTIVE__Type.html#a1ec4b03483d4ac2d65e5be510173cdd9',1,'DMAC_ACTIVE_Type::ID()'],['../unionEVSYS__INTPEND__Type.html#a6dfd5b0e8a698a390e9d47ef4a471a2c',1,'EVSYS_INTPEND_Type::ID()'],['../unionPICOP__ID__Type.html#a4b31b211692559557bd9706d90747568',1,'PICOP_ID_Type::ID()'],['../structPicop.html#a02bb97b1a6dc30fddc1f7d19a591b13e',1,'Picop::ID()']]],
['id_5fac_5224',['ID_AC',['../same54n19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC(): same54n19a.h'],['../same54n20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC(): same54n20a.h'],['../same54p19a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC(): same54p19a.h'],['../same54p20a_8h.html#a20fe08f8d0b2a4e6c0dbb2371aacadb0',1,'ID_AC(): same54p20a.h']]],
['id_5fadc0_5225',['ID_ADC0',['../same54n19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0(): same54n19a.h'],['../same54n20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0(): same54n20a.h'],['../same54p19a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0(): same54p19a.h'],['../same54p20a_8h.html#ac244c796a010d321270a4e60bad774f4',1,'ID_ADC0(): same54p20a.h']]],
['id_5fadc1_5226',['ID_ADC1',['../same54n19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1(): same54n19a.h'],['../same54n20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1(): same54n20a.h'],['../same54p19a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1(): same54p19a.h'],['../same54p20a_8h.html#ad38928c1d03faa02544087429336aec2',1,'ID_ADC1(): same54p20a.h']]],
['id_5faes_5227',['ID_AES',['../same54n19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES(): same54n19a.h'],['../same54n20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES(): same54n20a.h'],['../same54p19a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES(): same54p19a.h'],['../same54p20a_8h.html#aec9a8232936d7cd5ee16ccad142e41f3',1,'ID_AES(): same54p20a.h']]],
['id_5fcan0_5228',['ID_CAN0',['../same54n19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0(): same54n19a.h'],['../same54n20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0(): same54n20a.h'],['../same54p19a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0(): same54p19a.h'],['../same54p20a_8h.html#a25650788a1a03350af0764b53106d89b',1,'ID_CAN0(): same54p20a.h']]],
['id_5fcan1_5229',['ID_CAN1',['../same54n19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1(): same54n19a.h'],['../same54n20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1(): same54n20a.h'],['../same54p19a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1(): same54p19a.h'],['../same54p20a_8h.html#aeb666ed2b33d12453d2eb1d981616335',1,'ID_CAN1(): same54p20a.h']]],
['id_5fccl_5230',['ID_CCL',['../same54n19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL(): same54n19a.h'],['../same54n20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL(): same54n20a.h'],['../same54p19a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL(): same54p19a.h'],['../same54p20a_8h.html#a8ecff0af934e0f955163cbf8b1e38cda',1,'ID_CCL(): same54p20a.h']]],
['id_5fcmcc_5231',['ID_CMCC',['../same54n19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC(): same54n19a.h'],['../same54n20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC(): same54n20a.h'],['../same54p19a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC(): same54p19a.h'],['../same54p20a_8h.html#a924c3fdd31407320a6812f62ac99696c',1,'ID_CMCC(): same54p20a.h']]],
['id_5fdac_5232',['ID_DAC',['../same54n19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC(): same54n19a.h'],['../same54n20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC(): same54n20a.h'],['../same54p19a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC(): same54p19a.h'],['../same54p20a_8h.html#a2561118247335c13b56f7a79a17fceff',1,'ID_DAC(): same54p20a.h']]],
['id_5fdmac_5233',['ID_DMAC',['../same54n19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC(): same54n19a.h'],['../same54n20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC(): same54n20a.h'],['../same54p19a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC(): same54p19a.h'],['../same54p20a_8h.html#a82f75d497cb286bddbeb5a4cc7acdf6c',1,'ID_DMAC(): same54p20a.h']]],
['id_5fdsu_5234',['ID_DSU',['../same54n19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU(): same54n19a.h'],['../same54n20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU(): same54n20a.h'],['../same54p19a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU(): same54p19a.h'],['../same54p20a_8h.html#a133ab21b9cf2b826d5b93438c739fe5b',1,'ID_DSU(): same54p20a.h']]],
['id_5feic_5235',['ID_EIC',['../same54n19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC(): same54n19a.h'],['../same54n20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC(): same54n20a.h'],['../same54p19a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC(): same54p19a.h'],['../same54p20a_8h.html#adfa9cc6afc892fafcc808583185749cd',1,'ID_EIC(): same54p20a.h']]],
['id_5fevsys_5236',['ID_EVSYS',['../same54n19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS(): same54n19a.h'],['../same54n20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS(): same54n20a.h'],['../same54p19a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS(): same54p19a.h'],['../same54p20a_8h.html#a0a016b559f7cf0ec4f625ab9b8219720',1,'ID_EVSYS(): same54p20a.h']]],
['id_5ffreqm_5237',['ID_FREQM',['../same54n19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM(): same54n19a.h'],['../same54n20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM(): same54n20a.h'],['../same54p19a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM(): same54p19a.h'],['../same54p20a_8h.html#a8acfac0ea12d17d5eea4eb7d60e529f3',1,'ID_FREQM(): same54p20a.h']]],
['id_5fgclk_5238',['ID_GCLK',['../same54n19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK(): same54n19a.h'],['../same54n20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK(): same54n20a.h'],['../same54p19a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK(): same54p19a.h'],['../same54p20a_8h.html#a41ee42b31421f626e77084566ab6a922',1,'ID_GCLK(): same54p20a.h']]],
['id_5fgmac_5239',['ID_GMAC',['../same54n19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC(): same54n19a.h'],['../same54n20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC(): same54n20a.h'],['../same54p19a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC(): same54p19a.h'],['../same54p20a_8h.html#a858f0cfe0863a23a45e82da97be5a811',1,'ID_GMAC(): same54p20a.h']]],
['id_5fhmatrix_5240',['ID_HMATRIX',['../same54n19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX(): same54n19a.h'],['../same54n20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX(): same54n20a.h'],['../same54p19a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX(): same54p19a.h'],['../same54p20a_8h.html#a0b0651e1a78108ee343d989b8aa06852',1,'ID_HMATRIX(): same54p20a.h']]],
['id_5fi2s_5241',['ID_I2S',['../same54n19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S(): same54n19a.h'],['../same54n20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S(): same54n20a.h'],['../same54p19a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S(): same54p19a.h'],['../same54p20a_8h.html#ac57cc3843ee128de44e5f43b5a272357',1,'ID_I2S(): same54p20a.h']]],
['id_5ficm_5242',['ID_ICM',['../same54n19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM(): same54n19a.h'],['../same54n20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM(): same54n20a.h'],['../same54p19a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM(): same54p19a.h'],['../same54p20a_8h.html#a8aca300be73b0a6b95f5a89a0726c994',1,'ID_ICM(): same54p20a.h']]],
['id_5fmclk_5243',['ID_MCLK',['../same54n19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK(): same54n19a.h'],['../same54n20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK(): same54n20a.h'],['../same54p19a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK(): same54p19a.h'],['../same54p20a_8h.html#a646f37e0ee088252e6f505c306f49104',1,'ID_MCLK(): same54p20a.h']]],
['id_5fnvmctrl_5244',['ID_NVMCTRL',['../same54n19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL(): same54n19a.h'],['../same54n20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL(): same54n20a.h'],['../same54p19a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL(): same54p19a.h'],['../same54p20a_8h.html#add9d8b43ca98d3ef5cb2755542aadbaa',1,'ID_NVMCTRL(): same54p20a.h']]],
['id_5fosc32kctrl_5245',['ID_OSC32KCTRL',['../same54n19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL(): same54n19a.h'],['../same54n20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL(): same54n20a.h'],['../same54p19a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL(): same54p19a.h'],['../same54p20a_8h.html#ab3068604bd174ac73f42352385773d95',1,'ID_OSC32KCTRL(): same54p20a.h']]],
['id_5foscctrl_5246',['ID_OSCCTRL',['../same54n19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL(): same54n19a.h'],['../same54n20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL(): same54n20a.h'],['../same54p19a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL(): same54p19a.h'],['../same54p20a_8h.html#ad343ecfa8242bc9d8b80913f98ddc5a6',1,'ID_OSCCTRL(): same54p20a.h']]],
['id_5fpac_5247',['ID_PAC',['../same54n19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC(): same54n19a.h'],['../same54n20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC(): same54n20a.h'],['../same54p19a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC(): same54p19a.h'],['../same54p20a_8h.html#a1a7ef008badcb8b13477fd4d9f39c907',1,'ID_PAC(): same54p20a.h']]],
['id_5fpcc_5248',['ID_PCC',['../same54n19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC(): same54n19a.h'],['../same54n20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC(): same54n20a.h'],['../same54p19a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC(): same54p19a.h'],['../same54p20a_8h.html#a635726b2cfc186e1b4803d897276fc5d',1,'ID_PCC(): same54p20a.h']]],
['id_5fpdec_5249',['ID_PDEC',['../same54n19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC(): same54n19a.h'],['../same54n20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC(): same54n20a.h'],['../same54p19a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC(): same54p19a.h'],['../same54p20a_8h.html#a4f92abd14cddf5c6305f1702f5308dc8',1,'ID_PDEC(): same54p20a.h']]],
['id_5fperiph_5fcount_5250',['ID_PERIPH_COUNT',['../same54n19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT(): same54n19a.h'],['../same54n20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT(): same54n20a.h'],['../same54p19a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT(): same54p19a.h'],['../same54p20a_8h.html#ad0762589e782b5eca161d9d344306da7',1,'ID_PERIPH_COUNT(): same54p20a.h']]],
['id_5fpm_5251',['ID_PM',['../same54n19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM(): same54n19a.h'],['../same54n20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM(): same54n20a.h'],['../same54p19a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM(): same54p19a.h'],['../same54p20a_8h.html#ad05a1f37582f450e5b18dcf894ee78d3',1,'ID_PM(): same54p20a.h']]],
['id_5fport_5252',['ID_PORT',['../same54n19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT(): same54n19a.h'],['../same54n20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT(): same54n20a.h'],['../same54p19a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT(): same54p19a.h'],['../same54p20a_8h.html#a5a8dc20a0a108330ddcff76c990eadd9',1,'ID_PORT(): same54p20a.h']]],
['id_5fpukcc_5253',['ID_PUKCC',['../same54n19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC(): same54n19a.h'],['../same54n20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC(): same54n20a.h'],['../same54p19a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC(): same54p19a.h'],['../same54p20a_8h.html#a49188ee74213ef178151611c2389eb75',1,'ID_PUKCC(): same54p20a.h']]],
['id_5fqspi_5254',['ID_QSPI',['../same54n19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI(): same54n19a.h'],['../same54n20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI(): same54n20a.h'],['../same54p19a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI(): same54p19a.h'],['../same54p20a_8h.html#ab477663367b3c77e5b1c50b4117d6ae0',1,'ID_QSPI(): same54p20a.h']]],
['id_5framecc_5255',['ID_RAMECC',['../same54n19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC(): same54n19a.h'],['../same54n20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC(): same54n20a.h'],['../same54p19a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC(): same54p19a.h'],['../same54p20a_8h.html#a1b299e317b7f02867f4df3c07ab7c8a2',1,'ID_RAMECC(): same54p20a.h']]],
['id_5frstc_5256',['ID_RSTC',['../same54n19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC(): same54n19a.h'],['../same54n20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC(): same54n20a.h'],['../same54p19a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC(): same54p19a.h'],['../same54p20a_8h.html#aea51a815457219d1eaac3672d8eeaeef',1,'ID_RSTC(): same54p20a.h']]],
['id_5frtc_5257',['ID_RTC',['../same54n19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC(): same54n19a.h'],['../same54n20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC(): same54n20a.h'],['../same54p19a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC(): same54p19a.h'],['../same54p20a_8h.html#a29e777dcb28fd328c34ee0a91f6dc532',1,'ID_RTC(): same54p20a.h']]],
['id_5fsdhc0_5258',['ID_SDHC0',['../same54n19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0(): same54n19a.h'],['../same54n20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0(): same54n20a.h'],['../same54p19a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0(): same54p19a.h'],['../same54p20a_8h.html#a4d29e2fb6a107e25f86f70d6f770213f',1,'ID_SDHC0(): same54p20a.h']]],
['id_5fsdhc1_5259',['ID_SDHC1',['../same54n19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1(): same54n19a.h'],['../same54n20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1(): same54n20a.h'],['../same54p19a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1(): same54p19a.h'],['../same54p20a_8h.html#a785c8118dd3c615e1c2e606d90875635',1,'ID_SDHC1(): same54p20a.h']]],
['id_5fsercom0_5260',['ID_SERCOM0',['../same54n19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0(): same54n19a.h'],['../same54n20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0(): same54n20a.h'],['../same54p19a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0(): same54p19a.h'],['../same54p20a_8h.html#af6c4022e61804bc75d39f457e97dfc10',1,'ID_SERCOM0(): same54p20a.h']]],
['id_5fsercom1_5261',['ID_SERCOM1',['../same54n19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1(): same54n19a.h'],['../same54n20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1(): same54n20a.h'],['../same54p19a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1(): same54p19a.h'],['../same54p20a_8h.html#a20f0a6f8c7c0231bff7df284269486f1',1,'ID_SERCOM1(): same54p20a.h']]],
['id_5fsercom2_5262',['ID_SERCOM2',['../same54n19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2(): same54n19a.h'],['../same54n20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2(): same54n20a.h'],['../same54p19a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2(): same54p19a.h'],['../same54p20a_8h.html#a686cda24424f0437fd3fd9a949c81314',1,'ID_SERCOM2(): same54p20a.h']]],
['id_5fsercom3_5263',['ID_SERCOM3',['../same54n19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3(): same54n19a.h'],['../same54n20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3(): same54n20a.h'],['../same54p19a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3(): same54p19a.h'],['../same54p20a_8h.html#a65935c5552e27662e8a48b0756d23967',1,'ID_SERCOM3(): same54p20a.h']]],
['id_5fsercom4_5264',['ID_SERCOM4',['../same54n19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4(): same54n19a.h'],['../same54n20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4(): same54n20a.h'],['../same54p19a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4(): same54p19a.h'],['../same54p20a_8h.html#a270382f699a7cc1580298bc4c32d6ce5',1,'ID_SERCOM4(): same54p20a.h']]],
['id_5fsercom5_5265',['ID_SERCOM5',['../same54n19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5(): same54n19a.h'],['../same54n20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5(): same54n20a.h'],['../same54p19a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5(): same54p19a.h'],['../same54p20a_8h.html#a79c5ce89f89d004cb9987e28937cfdfb',1,'ID_SERCOM5(): same54p20a.h']]],
['id_5fsercom6_5266',['ID_SERCOM6',['../same54n19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6(): same54n19a.h'],['../same54n20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6(): same54n20a.h'],['../same54p19a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6(): same54p19a.h'],['../same54p20a_8h.html#a64af103f1d4cdc5fbe95a7c7f35032a1',1,'ID_SERCOM6(): same54p20a.h']]],
['id_5fsercom7_5267',['ID_SERCOM7',['../same54n19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7(): same54n19a.h'],['../same54n20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7(): same54n20a.h'],['../same54p19a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7(): same54p19a.h'],['../same54p20a_8h.html#a8bf824ba3608448e1444ebfc9dc95307',1,'ID_SERCOM7(): same54p20a.h']]],
['id_5fsupc_5268',['ID_SUPC',['../same54n19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC(): same54n19a.h'],['../same54n20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC(): same54n20a.h'],['../same54p19a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC(): same54p19a.h'],['../same54p20a_8h.html#a95381f9960dcd6ec5bcf9c6c3a35d39c',1,'ID_SUPC(): same54p20a.h']]],
['id_5ftc0_5269',['ID_TC0',['../same54n19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0(): same54n19a.h'],['../same54n20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0(): same54n20a.h'],['../same54p19a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0(): same54p19a.h'],['../same54p20a_8h.html#a17a38517c59418d9ed3b113d2d99eb6b',1,'ID_TC0(): same54p20a.h']]],
['id_5ftc1_5270',['ID_TC1',['../same54n19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1(): same54n19a.h'],['../same54n20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1(): same54n20a.h'],['../same54p19a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1(): same54p19a.h'],['../same54p20a_8h.html#ad66f08edf02aeb734534bfd1a8bf1764',1,'ID_TC1(): same54p20a.h']]],
['id_5ftc2_5271',['ID_TC2',['../same54n19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2(): same54n19a.h'],['../same54n20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2(): same54n20a.h'],['../same54p19a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2(): same54p19a.h'],['../same54p20a_8h.html#a730df769c6326ce690c538f72d53e515',1,'ID_TC2(): same54p20a.h']]],
['id_5ftc3_5272',['ID_TC3',['../same54n19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3(): same54n19a.h'],['../same54n20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3(): same54n20a.h'],['../same54p19a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3(): same54p19a.h'],['../same54p20a_8h.html#ac978ebe37e0ce9dfe2eec2f15ab83015',1,'ID_TC3(): same54p20a.h']]],
['id_5ftc4_5273',['ID_TC4',['../same54n19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4(): same54n19a.h'],['../same54n20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4(): same54n20a.h'],['../same54p19a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4(): same54p19a.h'],['../same54p20a_8h.html#a59ecaec462139ce30c8ebe1dc25f9f7d',1,'ID_TC4(): same54p20a.h']]],
['id_5ftc5_5274',['ID_TC5',['../same54n19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5(): same54n19a.h'],['../same54n20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5(): same54n20a.h'],['../same54p19a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5(): same54p19a.h'],['../same54p20a_8h.html#a60408f0e4285fc6e0e837aad86b862f8',1,'ID_TC5(): same54p20a.h']]],
['id_5ftc6_5275',['ID_TC6',['../same54n19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6(): same54n19a.h'],['../same54n20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6(): same54n20a.h'],['../same54p19a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6(): same54p19a.h'],['../same54p20a_8h.html#ac63b3ed5c54573b3c93943a3e2b33a3a',1,'ID_TC6(): same54p20a.h']]],
['id_5ftc7_5276',['ID_TC7',['../same54n19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7(): same54n19a.h'],['../same54n20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7(): same54n20a.h'],['../same54p19a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7(): same54p19a.h'],['../same54p20a_8h.html#a2e026ba57161127b95e270fa8d6ea327',1,'ID_TC7(): same54p20a.h']]],
['id_5ftcc0_5277',['ID_TCC0',['../same54n19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0(): same54n19a.h'],['../same54n20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0(): same54n20a.h'],['../same54p19a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0(): same54p19a.h'],['../same54p20a_8h.html#ae710608f4c53680eb854f146b8cfd395',1,'ID_TCC0(): same54p20a.h']]],
['id_5ftcc1_5278',['ID_TCC1',['../same54n19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1(): same54n19a.h'],['../same54n20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1(): same54n20a.h'],['../same54p19a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1(): same54p19a.h'],['../same54p20a_8h.html#a3a4b71213e54d4a617589bc14d66502f',1,'ID_TCC1(): same54p20a.h']]],
['id_5ftcc2_5279',['ID_TCC2',['../same54n19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2(): same54n19a.h'],['../same54n20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2(): same54n20a.h'],['../same54p19a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2(): same54p19a.h'],['../same54p20a_8h.html#a933f0423071b10a976b58a760fa9c2b2',1,'ID_TCC2(): same54p20a.h']]],
['id_5ftcc3_5280',['ID_TCC3',['../same54n19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3(): same54n19a.h'],['../same54n20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3(): same54n20a.h'],['../same54p19a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3(): same54p19a.h'],['../same54p20a_8h.html#aee95f4902fa35d9117a47ffc71da8956',1,'ID_TCC3(): same54p20a.h']]],
['id_5ftcc4_5281',['ID_TCC4',['../same54n19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4(): same54n19a.h'],['../same54n20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4(): same54n20a.h'],['../same54p19a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4(): same54p19a.h'],['../same54p20a_8h.html#a3602c3c4609462dd25669d40ef1a04d1',1,'ID_TCC4(): same54p20a.h']]],
['id_5ftrng_5282',['ID_TRNG',['../same54n19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG(): same54n19a.h'],['../same54n20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG(): same54n20a.h'],['../same54p19a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG(): same54p19a.h'],['../same54p20a_8h.html#a32e3a2d1d01b609629bf9a7637376ead',1,'ID_TRNG(): same54p20a.h']]],
['id_5fusb_5283',['ID_USB',['../same54n19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB(): same54n19a.h'],['../same54n20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB(): same54n20a.h'],['../same54p19a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB(): same54p19a.h'],['../same54p20a_8h.html#a594b66e6a8df6bbb0922419b9272df0e',1,'ID_USB(): same54p20a.h']]],
['id_5fwdt_5284',['ID_WDT',['../same54n19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT(): same54n19a.h'],['../same54n20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT(): same54n20a.h'],['../same54p19a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT(): same54p19a.h'],['../same54p20a_8h.html#a06d1da886b605da09fd7cede137498e8',1,'ID_WDT(): same54p20a.h']]],
['idc_5285',['IDC',['../unionGCLK__GENCTRL__Type.html#a4cc3e1807e181d5708882ef2f1d95377',1,'GCLK_GENCTRL_Type']]],
['idle_5286',['IDLE',['../unionGMAC__NSR__Type.html#af5cce1feec37bc3477a1e450df1960f4',1,'GMAC_NSR_Type']]],
['idr_5287',['IDR',['../structGmac.html#ac8acc3c168815214e473ab244d0e3bd7',1,'Gmac::IDR()'],['../structIcm.html#aa230b47e86f270ee5d92be78cba5971a',1,'Icm::IDR()'],['../structPcc.html#a68a7f18eb1d2a51b0db3d6ef49708e43',1,'Pcc::IDR()']]],
['idx_5288',['IDX',['../unionTCC__STATUS__Type.html#a138dd41ce87fc190478f7ee3006e0d5d',1,'TCC_STATUS_Type']]],
['idxcmd_5289',['IDXCMD',['../unionTCC__CTRLBCLR__Type.html#abff2aca50b48c6a5a846bad494115638',1,'TCC_CTRLBCLR_Type::IDXCMD()'],['../unionTCC__CTRLBSET__Type.html#ae964331b2ac6f601ee9c7e7ac424cead',1,'TCC_CTRLBSET_Type::IDXCMD()']]],
['idxerr_5290',['IDXERR',['../unionPDEC__STATUS__Type.html#abb24dc60aa4d9dbeda04107692bab4bb',1,'PDEC_STATUS_Type']]],
['ie_5291',['IE',['../structCan.html#a2f25530a27200f9ad3d76253e0c1be02',1,'Can']]],
['ier_5292',['IER',['../structGmac.html#a47f7b4e40c6edbd96e8ff182b9329229',1,'Gmac::IER()'],['../structIcm.html#a326ec88a1050b8f86b61bad521f09b90',1,'Icm::IER()'],['../structPcc.html#a6c2954b3c6aa92058a24957870027bd6',1,'Pcc::IER()']]],
['igloo_2eh_5293',['igloo.h',['../igloo_8h.html',1,'']]],
['ignack_5294',['IGNACK',['../unionPM__PWSAKDLY__Type.html#a2e9322fb23abd54c959950516f256b1a',1,'PM_PWSAKDLY_Type']]],
['ihce_5295',['IHCE',['../structGmac.html#a787b462374a1f82f6c99a74fc104bd55',1,'Gmac']]],
['il0ex_5296',['IL0EX',['../unionPICOP__CMD__Type.html#ac916eaa8819daf50a560bee7f26d4080',1,'PICOP_CMD_Type']]],
['il1ex_5297',['IL1EX',['../unionPICOP__CMD__Type.html#a0d0e14baf4199de72686287788a39dcc',1,'PICOP_CMD_Type']]],
['il2ex_5298',['IL2EX',['../unionPICOP__CMD__Type.html#a59dae908f62a05e2d567883643c3ace0',1,'PICOP_CMD_Type']]],
['il3ex_5299',['IL3EX',['../unionPICOP__CMD__Type.html#a16a3948126f975d94ebc17c95aac0bd4',1,'PICOP_CMD_Type']]],
['il4ex_5300',['IL4EX',['../unionPICOP__CMD__Type.html#ad4e78ac91cc418624566dcd2b3a26d33',1,'PICOP_CMD_Type']]],
['ile_5301',['ILE',['../structCan.html#a865d9ffee377fe951bd4717009632ba0',1,'Can']]],
['ils_5302',['ILS',['../structCan.html#a4f984130d063d1a6294dc09ac26ab0d2',1,'Can']]],
['imr_5303',['IMR',['../structGmac.html#a2b3ddf4bec954bee6a2439843fd48b30',1,'Gmac::IMR()'],['../structIcm.html#a8dfccd30988381bd8cb870e1e2d368a4',1,'Icm::IMR()'],['../structPcc.html#acb3566521ba318766a1a3ecbdbd8baae',1,'Pcc::IMR()']]],
['imult_5304',['IMULT',['../unionOSCCTRL__XOSCCTRL__Type.html#a2d32f6073ca0dc88ed49c6a33ac670c7',1,'OSCCTRL_XOSCCTRL_Type']]],
['in_5305',['IN',['../unionPORT__IN__Type.html#a465fff4e98525d8a9e25856a82272565',1,'PORT_IN_Type::IN()'],['../structPortGroup.html#a98613cc1bfe5fdc666400f0cb4dd9f2e',1,'PortGroup::IN()']]],
['in0act_5306',['IN0ACT',['../unionRTC__TAMPCTRL__Type.html#ac1ad7db608272cac106f6c0d84aefe40',1,'RTC_TAMPCTRL_Type']]],
['in1act_5307',['IN1ACT',['../unionRTC__TAMPCTRL__Type.html#af8f7a2f56521d67618ec8fb35dea31a2',1,'RTC_TAMPCTRL_Type']]],
['in2act_5308',['IN2ACT',['../unionRTC__TAMPCTRL__Type.html#a7d2faff1f43f9ae2716d54c1af103c1a',1,'RTC_TAMPCTRL_Type']]],
['in3act_5309',['IN3ACT',['../unionRTC__TAMPCTRL__Type.html#ae35ddef821cfcd96ad449ce2f59189e6',1,'RTC_TAMPCTRL_Type']]],
['in4act_5310',['IN4ACT',['../unionRTC__TAMPCTRL__Type.html#aca507dcd80a9a61abdde1ac57fd4b09d',1,'RTC_TAMPCTRL_Type']]],
['inack_5311',['INACK',['../unionSERCOM__USART__CTRLC__Type.html#a33a4a7948566dfb45e93064ba675d27c',1,'SERCOM_USART_CTRLC_Type']]],
['inactout_5312',['INACTOUT',['../unionSERCOM__I2CM__CTRLA__Type.html#a484e7c1db604eab3cb4d5940772ba4ca',1,'SERCOM_I2CM_CTRLA_Type']]],
['incstat_5313',['INCSTAT',['../unionGMAC__NCR__Type.html#a564bb9652ef3729576916fe94dfc7939',1,'GMAC_NCR_Type']]],
['indata_5314',['INDATA',['../structAes.html#aa345ed4becce9aafe1f5b8be7f9b6dd4',1,'Aes']]],
['indataptr_5315',['INDATAPTR',['../unionAES__DATABUFPTR__Type.html#ace02d51562c13d073e9a162ac6cb3b36',1,'AES_DATABUFPTR_Type']]],
['index_5316',['INDEX',['../unionCMCC__MAINT1__Type.html#a05c3bdba1cf4afd405c548128f0e318a',1,'CMCC_MAINT1_Type']]],
['inen_5317',['INEN',['../unionPORT__WRCONFIG__Type.html#a8f73ef7ea857bc325bb1def2b1c1eb7f',1,'PORT_WRCONFIG_Type::INEN()'],['../unionPORT__PINCFG__Type.html#ac7c4c6adc52ec6202256541a8bc6cd14',1,'PORT_PINCFG_Type::INEN()']]],
['init_5318',['INIT',['../unionCAN__CCCR__Type.html#a437c07c8a4192593f010c877b9d08b15',1,'CAN_CCCR_Type']]],
['inputctrl_5319',['INPUTCTRL',['../unionADC__SYNCBUSY__Type.html#a9fc549a0430f5d963af600b997c3743f',1,'ADC_SYNCBUSY_Type::INPUTCTRL()'],['../unionADC__DSEQCTRL__Type.html#a11c85c254296a143c30438ade8b0847e',1,'ADC_DSEQCTRL_Type::INPUTCTRL()'],['../unionADC__DSEQSTAT__Type.html#a9b319cc97296e657bf73dcec9cb52490',1,'ADC_DSEQSTAT_Type::INPUTCTRL()'],['../structAdc.html#a1ad090f498e2ce3d2b6e7b86931a0c7a',1,'Adc::INPUTCTRL()']]],
['insel0_5320',['INSEL0',['../unionCCL__LUTCTRL__Type.html#ae5b82cf07b6027a784e7f9326d947460',1,'CCL_LUTCTRL_Type']]],
['insel1_5321',['INSEL1',['../unionCCL__LUTCTRL__Type.html#a18d72246ebcc4a16239d0b2320b0d015',1,'CCL_LUTCTRL_Type']]],
['insel2_5322',['INSEL2',['../unionCCL__LUTCTRL__Type.html#ad3ec17c8a4dbe7a79135341b7c6655c7',1,'CCL_LUTCTRL_Type']]],
['inst_5323',['INST',['../unionPICOP__OCDSTATUS__Type.html#ac59e8b3b6f3258bd442826410969cdd9',1,'PICOP_OCDSTATUS_Type']]],
['instr_5324',['INSTR',['../unionQSPI__INSTRCTRL__Type.html#a356bb6a5c99c9d96c896d7000e61bdc3',1,'QSPI_INSTRCTRL_Type']]],
['instraddr_5325',['INSTRADDR',['../structQspi.html#a1ca888f8481c2f74f17202f86da43bb4',1,'Qspi']]],
['instrctrl_5326',['INSTRCTRL',['../structQspi.html#a028aaca0f980cadda025f73b78702bdd',1,'Qspi']]],
['instren_5327',['INSTREN',['../unionQSPI__INSTRFRAME__Type.html#aba0ceb37c44ea2b53a216333ec6d4b09',1,'QSPI_INSTRFRAME_Type']]],
['instrend_5328',['INSTREND',['../unionQSPI__INTENCLR__Type.html#a1487ebc330c7c34c3e8cfe2d40d83866',1,'QSPI_INTENCLR_Type::INSTREND()'],['../unionQSPI__INTENSET__Type.html#ad00a5f3343ee143b50a8000c879ca109',1,'QSPI_INTENSET_Type::INSTREND()'],['../unionQSPI__INTFLAG__Type.html#a68c37907555a5b16ed601d7f40801f3c',1,'QSPI_INTFLAG_Type::INSTREND()']]],
['instrframe_5329',['INSTRFRAME',['../structQspi.html#a4caceb6a280cbde82946b99189c08438',1,'Qspi']]],
['intbg_5330',['INTBG',['../unionSDHC__BGCR__Type.html#aa6590a3bf0eb9998efe1c51efa969fd8',1,'SDHC_BGCR_Type']]],
['intclken_5331',['INTCLKEN',['../unionSDHC__CCR__Type.html#ac69c7e43c5f5f2d322b492559fc4dd43',1,'SDHC_CCR_Type']]],
['intclks_5332',['INTCLKS',['../unionSDHC__CCR__Type.html#a86279c8f6e3b8264a8ba94bb8cfed550',1,'SDHC_CCR_Type']]],
['intenclr_5333',['INTENCLR',['../structAc.html#a6dc958f6971494d41ef59d7785a8d1bb',1,'Ac::INTENCLR()'],['../structAdc.html#a81adb67cdacbd126e0a65f1b555f0221',1,'Adc::INTENCLR()'],['../structAes.html#a956073000295f4bb1622489a55c7024c',1,'Aes::INTENCLR()'],['../structDac.html#a02bfbb17949d48af6df846837a977723',1,'Dac::INTENCLR()'],['../structEic.html#ac499ecb4ba54624fb0f534bfff917bcc',1,'Eic::INTENCLR()'],['../structFreqm.html#a01cf84b4191bb7afb80794793d442084',1,'Freqm::INTENCLR()'],['../structI2s.html#a288e75f19ac339c92dd44b059501cd66',1,'I2s::INTENCLR()'],['../structMclk.html#a1e40a8efebeb4c0f3369640d85f1c3b0',1,'Mclk::INTENCLR()'],['../structNvmctrl.html#a7c7db1c3ef2a8a290f214ae45db87a83',1,'Nvmctrl::INTENCLR()'],['../structOsc32kctrl.html#a2cc865826e2c69780f5fbaf85e851ea1',1,'Osc32kctrl::INTENCLR()'],['../structOscctrl.html#a6d860ddc7b53d096cb7c4f0e63053d3c',1,'Oscctrl::INTENCLR()'],['../structPac.html#a3c9266e1f270edd8e6eebc44cdce1005',1,'Pac::INTENCLR()'],['../structPdec.html#a3b944bd14fd26d2b3af5f69b35cbdf37',1,'Pdec::INTENCLR()'],['../structPm.html#ad0083e323b10f67deea9354e60c691dd',1,'Pm::INTENCLR()'],['../structQspi.html#ac87eada8e9fb313301f67cbc40c93eb3',1,'Qspi::INTENCLR()'],['../structRamecc.html#a0ab08cb00c7dbb1928723621a5c2e98b',1,'Ramecc::INTENCLR()'],['../structRtcMode0.html#af0a2243d03b6daa3bd210fef30a8499a',1,'RtcMode0::INTENCLR()'],['../structRtcMode1.html#a8fcd58e7d27242c27b86306fc76bf6bc',1,'RtcMode1::INTENCLR()'],['../structRtcMode2.html#abacd8562d898378de7149a11383da56c',1,'RtcMode2::INTENCLR()'],['../structSercomI2cm.html#a115c20fd68cbae0011a3e013c4fc7f87',1,'SercomI2cm::INTENCLR()'],['../structSercomI2cs.html#a572df7f0b2e1e92e16618b3d41e941db',1,'SercomI2cs::INTENCLR()'],['../structSercomSpi.html#a447e3f476bf3805f1ac0729f5751e249',1,'SercomSpi::INTENCLR()'],['../structSercomUsart.html#a41bd9a7bd443197a9177357eb122dfb1',1,'SercomUsart::INTENCLR()'],['../structSupc.html#ac038945078518b446e2707aa8af02bbe',1,'Supc::INTENCLR()'],['../structTcCount8.html#a06374e1d0aeda26de80ba031b6f199e3',1,'TcCount8::INTENCLR()'],['../structTcCount16.html#ad1def93d6f20cbb31783a6a84bd4dffd',1,'TcCount16::INTENCLR()'],['../structTcCount32.html#a560faa7e8989670e03c078573074fced',1,'TcCount32::INTENCLR()'],['../structTcc.html#a9a0528a9bada8511ddc01889842aa0ef',1,'Tcc::INTENCLR()'],['../structTrng.html#a47fc2214eec09b51e756a4aee88821b5',1,'Trng::INTENCLR()'],['../structUsbDevice.html#ae7a55cce75081b92a74721b02c7810c6',1,'UsbDevice::INTENCLR()'],['../structUsbHost.html#a09d4fa26d109865a0ccb77479be12c63',1,'UsbHost::INTENCLR()'],['../structWdt.html#ac9fcaad1c85d069df1f902a66ff9350d',1,'Wdt::INTENCLR()']]],
['intenset_5334',['INTENSET',['../structAc.html#a3c53909f19187625e2423f7dbb57ddc7',1,'Ac::INTENSET()'],['../structAdc.html#a07ae3a5472859656c92b6aaeda6849de',1,'Adc::INTENSET()'],['../structAes.html#adf22f32384cfb5506cbc13cd7452fdb4',1,'Aes::INTENSET()'],['../structDac.html#a6a92c98c095897a17be5869798d408f5',1,'Dac::INTENSET()'],['../structEic.html#ac9db1d0fed533fb55777efe3f1d98c69',1,'Eic::INTENSET()'],['../structFreqm.html#a8f361e5f18a099f2087cdaaef0954676',1,'Freqm::INTENSET()'],['../structI2s.html#a8509c18ae990d1a0fdf87162db444d66',1,'I2s::INTENSET()'],['../structMclk.html#ad82c6c646b216ebc79ed5e6963d3dd47',1,'Mclk::INTENSET()'],['../structNvmctrl.html#a47dd09a378257903197d6d6e47f8ff2e',1,'Nvmctrl::INTENSET()'],['../structOsc32kctrl.html#aae1184bb6b2cdaab784b0189726db80a',1,'Osc32kctrl::INTENSET()'],['../structOscctrl.html#a2574505371983e1f0a1228ec3e8f1d22',1,'Oscctrl::INTENSET()'],['../structPac.html#a710a1d05491b5b53c87e1ad8f98c178b',1,'Pac::INTENSET()'],['../structPdec.html#a9052561a41d34991ed1407878a52d58a',1,'Pdec::INTENSET()'],['../structPm.html#aae11f1dff46c370d8fd6cea5a1ba4bce',1,'Pm::INTENSET()'],['../structQspi.html#a87ffbe45eb4baaebac25849d60fec1b7',1,'Qspi::INTENSET()'],['../structRamecc.html#ac8dad5ebd0322b3dea84ab8838109e3a',1,'Ramecc::INTENSET()'],['../structRtcMode0.html#ab858e1172e090c17a4b15cafc3ad2c6a',1,'RtcMode0::INTENSET()'],['../structRtcMode1.html#abd305c6ce9f7a55d81f03ed781de0daa',1,'RtcMode1::INTENSET()'],['../structRtcMode2.html#a6d75eb6b1d65cfa2798098df490d1943',1,'RtcMode2::INTENSET()'],['../structSercomI2cm.html#ab9bf32c36650e9e33c4f5457c87e1e67',1,'SercomI2cm::INTENSET()'],['../structSercomI2cs.html#a5c9b249c1bbdb369668af2d8925d6f5a',1,'SercomI2cs::INTENSET()'],['../structSercomSpi.html#a746460fa2d29ff5cf5859a7e120823e9',1,'SercomSpi::INTENSET()'],['../structSercomUsart.html#a1ac4a7c27bab21a1bfd18aa9aaea176b',1,'SercomUsart::INTENSET()'],['../structSupc.html#aeb5b074be93359ec24669c99188b76e5',1,'Supc::INTENSET()'],['../structTcCount8.html#acfb9d660f23d4eb039b9f9fe4cf05ae6',1,'TcCount8::INTENSET()'],['../structTcCount16.html#a6e801d2cfa907ef718181d562516d25b',1,'TcCount16::INTENSET()'],['../structTcCount32.html#a4ae24c9eb71be0ae92a249947757ac08',1,'TcCount32::INTENSET()'],['../structTcc.html#a87325a9da9bb14bbbb254f07161c3824',1,'Tcc::INTENSET()'],['../structTrng.html#a63ac7661d1ad0e51317a743eeb1631af',1,'Trng::INTENSET()'],['../structUsbDevice.html#aaa2675fe0a8082685167e655673f6957',1,'UsbDevice::INTENSET()'],['../structUsbHost.html#aba2118f131b6176a436e30250d1d1f38',1,'UsbHost::INTENSET()'],['../structWdt.html#af97494fd3c9a84daf84a63cea897d882',1,'Wdt::INTENSET()']]],
['intflag_5335',['INTFLAG',['../structAc.html#ab41fe4ec783d82e9b137148ab6be1e79',1,'Ac::INTFLAG()'],['../structAdc.html#a1b221db015a728adf157f6d130967476',1,'Adc::INTFLAG()'],['../structAes.html#a447c2165d5de33de0fa3b6d7ae518c53',1,'Aes::INTFLAG()'],['../structDac.html#aeafbfa143f0c3c637251a76946396ea9',1,'Dac::INTFLAG()'],['../structEic.html#a487a1c3a7123a446a051251d64aba60f',1,'Eic::INTFLAG()'],['../structFreqm.html#a7e6535e0c1ebd90f0f1f501c8553fa1c',1,'Freqm::INTFLAG()'],['../structI2s.html#ac631fa19eb0927d9cc99c1743945274c',1,'I2s::INTFLAG()'],['../structMclk.html#a0f0cf763c2481c68676860e3a3d25eef',1,'Mclk::INTFLAG()'],['../structNvmctrl.html#aa275ec3e71b3fd5455175d593b317548',1,'Nvmctrl::INTFLAG()'],['../structOsc32kctrl.html#a15de0aa5c22b436baf5d2fc6a1f5aab6',1,'Osc32kctrl::INTFLAG()'],['../structOscctrl.html#aa1fcfa6ec9a636e85e721056268617fa',1,'Oscctrl::INTFLAG()'],['../structPdec.html#a9b601eebe5b5bab912a13adef7e36ffb',1,'Pdec::INTFLAG()'],['../structPm.html#a8089472da81397d8469b1426ccb85bc6',1,'Pm::INTFLAG()'],['../structQspi.html#aec5e882df9b5ddf5a4398ab89ad4f70c',1,'Qspi::INTFLAG()'],['../structRamecc.html#aa8b70758e67cb15f134ef1a0ae8a3513',1,'Ramecc::INTFLAG()'],['../structRtcMode0.html#a0e10a211f31df9bfb568e05be191f1d5',1,'RtcMode0::INTFLAG()'],['../structRtcMode1.html#a5ca2042a13bab45b75c3ba9349f8f2d7',1,'RtcMode1::INTFLAG()'],['../structRtcMode2.html#a754872904b63e0a40f73498fa501d02a',1,'RtcMode2::INTFLAG()'],['../structSercomI2cm.html#a08beddd4d34c9cd8e3c54fca1e6d9dc1',1,'SercomI2cm::INTFLAG()'],['../structSercomI2cs.html#a5238e7f4dc695a50dd9657371f464fe5',1,'SercomI2cs::INTFLAG()'],['../structSercomSpi.html#aa8972f8e6759af48a837664cbc55f3e0',1,'SercomSpi::INTFLAG()'],['../structSercomUsart.html#aeddd2226c1eec2dc16bb85bb57dcb986',1,'SercomUsart::INTFLAG()'],['../structSupc.html#a1fa15f2185ebf40bc17e3bb740eed072',1,'Supc::INTFLAG()'],['../structTcCount8.html#a880de196c959459996c34fc09a3b0931',1,'TcCount8::INTFLAG()'],['../structTcCount16.html#a6d2ddddf3183bc1cedc965ee936b4f13',1,'TcCount16::INTFLAG()'],['../structTcCount32.html#a99b72f5ad94076184f44f42cfebe49bf',1,'TcCount32::INTFLAG()'],['../structTcc.html#a45850dfe47f384be457edd8185d2ada0',1,'Tcc::INTFLAG()'],['../structTrng.html#aafe2f63a02191772fc00b73041fa4a1a',1,'Trng::INTFLAG()'],['../structUsbDevice.html#a6498da1f260b648c3a894cfec31b544b',1,'UsbDevice::INTFLAG()'],['../structUsbHost.html#a09f2a57db56557b91768bced3dcee684',1,'UsbHost::INTFLAG()'],['../structWdt.html#a9b8833d2f9cddd60549ee979f0ca6cf6',1,'Wdt::INTFLAG()']]],
['intflaga_5336',['INTFLAGA',['../structPac.html#a88c4b94bbb43bfc8bc6ef1c8315dd4b3',1,'Pac']]],
['intflagahb_5337',['INTFLAGAHB',['../structPac.html#a43204082738d35bfd321db9f92960be4',1,'Pac']]],
['intflagb_5338',['INTFLAGB',['../structPac.html#aa24c383457a9d02e95b5338afd45a1d0',1,'Pac']]],
['intflagc_5339',['INTFLAGC',['../structPac.html#a3233539abbe44636f3206332631e017c',1,'Pac']]],
['intflagd_5340',['INTFLAGD',['../structPac.html#ac6d1478ff1d24eb47f9cb43edeb282b3',1,'Pac']]],
['intpend_5341',['INTPEND',['../structDmac.html#a00e7d5ff6840fe92744e11307a67063f',1,'Dmac::INTPEND()'],['../structEvsys.html#a403953597f8540fe1970b4b808bae012',1,'Evsys::INTPEND()']]],
['intsel_5342',['INTSEL',['../unionAC__COMPCTRL__Type.html#a95f33ef2691b5cda0fea29595af971a4',1,'AC_COMPCTRL_Type']]],
['intssl_5343',['INTSSL',['../unionSDHC__SISR__Type.html#a13f3474ad40e1379d52dc93e3c5b31cf',1,'SDHC_SISR_Type']]],
['intstatus_5344',['INTSTATUS',['../structDmac.html#a41c5697f64b65f76f5345f84404e3c95',1,'Dmac::INTSTATUS()'],['../structEvsys.html#a383b68728e361cd44f932df377fa9d03',1,'Evsys::INTSTATUS()']]],
['intvectv_5345',['INTVECTV',['../structAes.html#ad0639a7a1840080f9755d4d14879a554',1,'Aes']]],
['invall_5346',['INVALL',['../unionCMCC__MAINT0__Type.html#a001d85c5601ace2b71c2e2f5503fee4b',1,'CMCC_MAINT0_Type']]],
['invei_5347',['INVEI',['../unionAC__EVCTRL__Type.html#aed5e8dd2038203472a017dd9e017db71',1,'AC_EVCTRL_Type::INVEI()'],['../unionCCL__LUTCTRL__Type.html#a01eb015c890d0469124f0c9058beb826',1,'CCL_LUTCTRL_Type::INVEI()'],['../unionDAC__EVCTRL__Type.html#a1d8c9af6bd653312ebed482e78b13eba',1,'DAC_EVCTRL_Type::INVEI()']]],
['invei0_5348',['INVEI0',['../unionAC__EVCTRL__Type.html#a1ca0ff027125761a6ca1049a9e549a18',1,'AC_EVCTRL_Type::INVEI0()'],['../unionDAC__EVCTRL__Type.html#a43d27b9550af4563ce15b91901f0a91d',1,'DAC_EVCTRL_Type::INVEI0()']]],
['invei1_5349',['INVEI1',['../unionAC__EVCTRL__Type.html#a9c3e7ed4fbb3058255cf3dc0ae712254',1,'AC_EVCTRL_Type::INVEI1()'],['../unionDAC__EVCTRL__Type.html#a94fb42e5631ffec7e91f8b9117f5b8dc',1,'DAC_EVCTRL_Type::INVEI1()']]],
['inven_5350',['INVEN',['../unionTC__DRVCTRL__Type.html#a2c9c86377c0440daa39dc8a16de5c986',1,'TC_DRVCTRL_Type::INVEN()'],['../unionTCC__DRVCTRL__Type.html#ac563f81b8ebd773dd12e1d4f6dcc4ec0',1,'TCC_DRVCTRL_Type::INVEN()']]],
['inven0_5351',['INVEN0',['../unionTC__DRVCTRL__Type.html#ac407ccdfd4a2ccb8a0f02906d73f58f4',1,'TC_DRVCTRL_Type::INVEN0()'],['../unionTCC__DRVCTRL__Type.html#a267ecbbc0b6ee54c09edbcbb95f3a70d',1,'TCC_DRVCTRL_Type::INVEN0()']]],
['inven1_5352',['INVEN1',['../unionTC__DRVCTRL__Type.html#a011489527909508de1e0972630ce956d',1,'TC_DRVCTRL_Type::INVEN1()'],['../unionTCC__DRVCTRL__Type.html#a2a8e0e45019db019e6e5b65c9291bd04',1,'TCC_DRVCTRL_Type::INVEN1()']]],
['inven2_5353',['INVEN2',['../unionTCC__DRVCTRL__Type.html#abaa21a6887bf43e9eaf52778feb50d00',1,'TCC_DRVCTRL_Type']]],
['inven3_5354',['INVEN3',['../unionTCC__DRVCTRL__Type.html#af190b09921001915895490ce58ce03c6',1,'TCC_DRVCTRL_Type']]],
['inven4_5355',['INVEN4',['../unionTCC__DRVCTRL__Type.html#a0fffb8ca7f2388bbd1296f3fe5c5f66c',1,'TCC_DRVCTRL_Type']]],
['inven5_5356',['INVEN5',['../unionTCC__DRVCTRL__Type.html#a5c0949b079e7d9eed5625af66727284c',1,'TCC_DRVCTRL_Type']]],
['inven6_5357',['INVEN6',['../unionTCC__DRVCTRL__Type.html#a45623b1a58b52989f23ad8108f2bdae9',1,'TCC_DRVCTRL_Type']]],
['inven7_5358',['INVEN7',['../unionTCC__DRVCTRL__Type.html#a1159efccd374d6b8b8b19654664c35d2',1,'TCC_DRVCTRL_Type']]],
['iodis_5359',['IODIS',['../unionPICOP__MMUCTRL__Type.html#ad7cc83bb45a500d39eddae85152bd583',1,'PICOP_MMUCTRL_Type']]],
['ioret_5360',['IORET',['../unionPM__CTRLA__Type.html#a115f73d46fbe0a126716a07cc568c54f',1,'PM_CTRLA_Type']]],
['ip_5361',['IP',['../unionGMAC__WOL__Type.html#ae193a65657f24dd65ca0c5fd6be61f65',1,'GMAC_WOL_Type']]],
['ipgs_5362',['IPGS',['../structGmac.html#aa2e757385cf30f531a17215fc9bbda3b',1,'Gmac']]],
['ipgsen_5363',['IPGSEN',['../unionGMAC__NCFGR__Type.html#a699e9b92b56f256a474f7bbb112f9d64',1,'GMAC_NCFGR_Type']]],
['iptat_5364',['IPTAT',['../unionOSCCTRL__XOSCCTRL__Type.html#a247826826182f9a007ed2ad6f9581884',1,'OSCCTRL_XOSCCTRL_Type']]],
['ir_5365',['IR',['../structCan.html#ab0511149cd3f2ad78c5ccdc4f6bfa7ce',1,'Can']]],
['irqenclr_5366',['IRQENCLR',['../unionPICOP__HFCTRL__Type.html#a82b94e3af392c221de90b6d451c0e964',1,'PICOP_HFCTRL_Type']]],
['irqenset_5367',['IRQENSET',['../unionPICOP__HFCTRL__Type.html#a81fb93bae9658ef1f5c5ba82a96a4c96',1,'PICOP_HFCTRL_Type']]],
['irqn_5368',['IRQn',['../same54n19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn(): same54n19a.h'],['../same54n20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn(): same54n20a.h'],['../same54p19a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn(): same54p19a.h'],['../same54p20a_8h.html#a666eb0caeb12ec0e281415592ae89083',1,'IRQn(): same54p20a.h']]],
['irqn_5ftype_5369',['IRQn_Type',['../same54n19a_8h.html#a4a0206df9604302e0741c1aa4ca1ded3',1,'IRQn_Type(): same54n19a.h'],['../same54n20a_8h.html#a4a0206df9604302e0741c1aa4ca1ded3',1,'IRQn_Type(): same54n20a.h'],['../same54p19a_8h.html#a4a0206df9604302e0741c1aa4ca1ded3',1,'IRQn_Type(): same54p19a.h'],['../same54p20a_8h.html#a4a0206df9604302e0741c1aa4ca1ded3',1,'IRQn_Type(): same54p20a.h']]],
['irxer_5370',['IRXER',['../unionGMAC__NCFGR__Type.html#a24e2d71547463427a4e9e9c52929796a',1,'GMAC_NCFGR_Type']]],
['irxfcs_5371',['IRXFCS',['../unionGMAC__NCFGR__Type.html#a513b1bf5fbe01446e212d442e87e7c53',1,'GMAC_NCFGR_Type']]],
['isa_5372',['ISA',['../unionPICOP__CONFIG__Type.html#a7d750ea40edc93c7f7238c9d45e8ae0f',1,'PICOP_CONFIG_Type']]],
['isf_5373',['ISF',['../unionSERCOM__USART__STATUS__Type.html#ab7fadf6566ebb5691dcde3f297e8ec3f',1,'SERCOM_USART_STATUS_Type']]],
['isize_5374',['ISIZE',['../unionPCC__MR__Type.html#a72461a175cdb0e4605ccfedb93c1d39a',1,'PCC_MR_Type']]],
['isr_5375',['ISR',['../structGmac.html#ad510efb36edec52db3baa6bbda394e23',1,'Gmac::ISR()'],['../structIcm.html#a28aa1223e5618b78c71eb31c7e55891c',1,'Icm::ISR()'],['../structPcc.html#aacd3b5efe72afb0bea29042ebc85e35c',1,'Pcc::ISR()']]],
['itdt_5376',['ITDT',['../unionGMAC__TA__Type.html#aed2145c977f837cc5416fb0252963fb6',1,'GMAC_TA_Type']]],
['iter_5377',['ITER',['../unionSERCOM__USART__STATUS__Type.html#ae05481a54e6d4a025e0a746e59c89319',1,'SERCOM_USART_STATUS_Type']]],
['inter_2dic_20sound_20interface_5378',['Inter-IC Sound Interface',['../group__SAME54__I2S.html',1,'']]],
['integrity_20check_20monitor_5379',['Integrity Check Monitor',['../group__SAME54__ICM.html',1,'']]]
];