You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

225 lines
25 KiB
HTML

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "https://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.20"/>
<meta name="viewport" content="width=device-width, initial-scale=1"/>
<title>SAME54P20A Test Project: /storage/Shared/Documents/Projects/ePenguin/ePenguin-Software-Framework/arch/arm/SAME54/SAME54A/mcu/inc/instance/adc0.h File Reference</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/searchdata.js"></script>
<script type="text/javascript" src="search/search.js"></script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
<tbody>
<tr style="height: 56px;">
<td id="projectalign" style="padding-left: 0.5em;">
<div id="projectname">SAME54P20A Test Project
</div>
</td>
</tr>
</tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.20 -->
<script type="text/javascript">
/* @license magnet:?xt=urn:btih:cf05388f2679ee054f2beb29a391d25f4e673ac3&amp;dn=gpl-2.0.txt GPL-v2 */
var searchBox = new SearchBox("searchBox", "search",false,'Search');
/* @license-end */
</script>
<script type="text/javascript" src="menudata.js"></script>
<script type="text/javascript" src="menu.js"></script>
<script type="text/javascript">
/* @license magnet:?xt=urn:btih:cf05388f2679ee054f2beb29a391d25f4e673ac3&amp;dn=gpl-2.0.txt GPL-v2 */
$(function() {
initMenu('',true,false,'search.php','Search');
$(document).ready(function() { init_search(); });
});
/* @license-end */</script>
<div id="main-nav"></div>
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
onmouseover="return searchBox.OnSearchSelectShow()"
onmouseout="return searchBox.OnSearchSelectHide()"
onkeydown="return searchBox.OnSearchSelectKey(event)">
</div>
<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0"
name="MSearchResults" id="MSearchResults">
</iframe>
</div>
<div id="nav-path" class="navpath">
<ul>
<li class="navelem"><a class="el" href="dir_ea9599923402ca8ab47fc3e495999dea.html">arch</a></li><li class="navelem"><a class="el" href="dir_9e929c73feaf15d3695ce4c76b483065.html">arm</a></li><li class="navelem"><a class="el" href="dir_58955c0f35a9c3d48181d2be53994c7b.html">SAME54</a></li><li class="navelem"><a class="el" href="dir_09e97e512ca7d4e6cd359f1c5497eeba.html">SAME54A</a></li><li class="navelem"><a class="el" href="dir_4b38d63e5c584a4d6c9001c789e1829f.html">mcu</a></li><li class="navelem"><a class="el" href="dir_d4fc57b996dc082ef023092a5b7d90fc.html">inc</a></li><li class="navelem"><a class="el" href="dir_92b117bae75cf16a05ca7611db29e9c7.html">instance</a></li> </ul>
</div>
</div><!-- top -->
<div class="header">
<div class="summary">
<a href="#define-members">Macros</a> </div>
<div class="headertitle">
<div class="title">adc0.h File Reference</div> </div>
</div><!--header-->
<div class="contents">
<p>Instance description for ADC0.
<a href="#details">More...</a></p>
<p><a href="adc0_8h_source.html">Go to the source code of this file.</a></p>
<table class="memberdecls">
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="define-members"></a>
Macros</h2></td></tr>
<tr class="memitem:a9c44654964789482627e57ff5071a07e"><td class="memItemLeft" align="right" valign="top"><a id="a9c44654964789482627e57ff5071a07e"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a9c44654964789482627e57ff5071a07e">REG_ADC0_CTRLA</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C00UL)</td></tr>
<tr class="memdesc:a9c44654964789482627e57ff5071a07e"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Control A <br /></td></tr>
<tr class="separator:a9c44654964789482627e57ff5071a07e"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a026712b3837538e8277d8154f47169a7"><td class="memItemLeft" align="right" valign="top"><a id="a026712b3837538e8277d8154f47169a7"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a026712b3837538e8277d8154f47169a7">REG_ADC0_EVCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C02UL)</td></tr>
<tr class="memdesc:a026712b3837538e8277d8154f47169a7"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Event Control <br /></td></tr>
<tr class="separator:a026712b3837538e8277d8154f47169a7"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a89bc5e8029ad34ddc5e1f8eca07ffc47"><td class="memItemLeft" align="right" valign="top"><a id="a89bc5e8029ad34ddc5e1f8eca07ffc47"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a89bc5e8029ad34ddc5e1f8eca07ffc47">REG_ADC0_DBGCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C03UL)</td></tr>
<tr class="memdesc:a89bc5e8029ad34ddc5e1f8eca07ffc47"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Debug Control <br /></td></tr>
<tr class="separator:a89bc5e8029ad34ddc5e1f8eca07ffc47"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a1ff6f7b23dbd51f99217830bcca9b664"><td class="memItemLeft" align="right" valign="top"><a id="a1ff6f7b23dbd51f99217830bcca9b664"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a1ff6f7b23dbd51f99217830bcca9b664">REG_ADC0_INPUTCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C04UL)</td></tr>
<tr class="memdesc:a1ff6f7b23dbd51f99217830bcca9b664"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Input Control <br /></td></tr>
<tr class="separator:a1ff6f7b23dbd51f99217830bcca9b664"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:afd40546059b3a35d6396fbfd6c8b585f"><td class="memItemLeft" align="right" valign="top"><a id="afd40546059b3a35d6396fbfd6c8b585f"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#afd40546059b3a35d6396fbfd6c8b585f">REG_ADC0_CTRLB</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C06UL)</td></tr>
<tr class="memdesc:afd40546059b3a35d6396fbfd6c8b585f"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Control B <br /></td></tr>
<tr class="separator:afd40546059b3a35d6396fbfd6c8b585f"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aaf24a8578351101f1763e8abc79ec933"><td class="memItemLeft" align="right" valign="top"><a id="aaf24a8578351101f1763e8abc79ec933"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#aaf24a8578351101f1763e8abc79ec933">REG_ADC0_REFCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C08UL)</td></tr>
<tr class="memdesc:aaf24a8578351101f1763e8abc79ec933"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Reference Control <br /></td></tr>
<tr class="separator:aaf24a8578351101f1763e8abc79ec933"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a8952a2b2bb668406de90b8c4fb1cdd6b"><td class="memItemLeft" align="right" valign="top"><a id="a8952a2b2bb668406de90b8c4fb1cdd6b"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a8952a2b2bb668406de90b8c4fb1cdd6b">REG_ADC0_AVGCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C0AUL)</td></tr>
<tr class="memdesc:a8952a2b2bb668406de90b8c4fb1cdd6b"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Average Control <br /></td></tr>
<tr class="separator:a8952a2b2bb668406de90b8c4fb1cdd6b"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:afdcaef912fad2e2b46eeba24d72b4a45"><td class="memItemLeft" align="right" valign="top"><a id="afdcaef912fad2e2b46eeba24d72b4a45"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#afdcaef912fad2e2b46eeba24d72b4a45">REG_ADC0_SAMPCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C0BUL)</td></tr>
<tr class="memdesc:afdcaef912fad2e2b46eeba24d72b4a45"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Sample Time Control <br /></td></tr>
<tr class="separator:afdcaef912fad2e2b46eeba24d72b4a45"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a026503ed8170e8870378faaa9a114c66"><td class="memItemLeft" align="right" valign="top"><a id="a026503ed8170e8870378faaa9a114c66"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a026503ed8170e8870378faaa9a114c66">REG_ADC0_WINLT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C0CUL)</td></tr>
<tr class="memdesc:a026503ed8170e8870378faaa9a114c66"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Window Monitor Lower Threshold <br /></td></tr>
<tr class="separator:a026503ed8170e8870378faaa9a114c66"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a251d70cd9f80815364ea3f827aa99fef"><td class="memItemLeft" align="right" valign="top"><a id="a251d70cd9f80815364ea3f827aa99fef"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a251d70cd9f80815364ea3f827aa99fef">REG_ADC0_WINUT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C0EUL)</td></tr>
<tr class="memdesc:a251d70cd9f80815364ea3f827aa99fef"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Window Monitor Upper Threshold <br /></td></tr>
<tr class="separator:a251d70cd9f80815364ea3f827aa99fef"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ac42157160d191aeea77d9d685abe50fc"><td class="memItemLeft" align="right" valign="top"><a id="ac42157160d191aeea77d9d685abe50fc"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#ac42157160d191aeea77d9d685abe50fc">REG_ADC0_GAINCORR</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C10UL)</td></tr>
<tr class="memdesc:ac42157160d191aeea77d9d685abe50fc"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Gain Correction <br /></td></tr>
<tr class="separator:ac42157160d191aeea77d9d685abe50fc"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a5cdecdc886b77341d36f648e7fb0fe3b"><td class="memItemLeft" align="right" valign="top"><a id="a5cdecdc886b77341d36f648e7fb0fe3b"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a5cdecdc886b77341d36f648e7fb0fe3b">REG_ADC0_OFFSETCORR</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C12UL)</td></tr>
<tr class="memdesc:a5cdecdc886b77341d36f648e7fb0fe3b"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Offset Correction <br /></td></tr>
<tr class="separator:a5cdecdc886b77341d36f648e7fb0fe3b"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a0b0e88a17a2d0503d6194c8500ec7f6c"><td class="memItemLeft" align="right" valign="top"><a id="a0b0e88a17a2d0503d6194c8500ec7f6c"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a0b0e88a17a2d0503d6194c8500ec7f6c">REG_ADC0_SWTRIG</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C14UL)</td></tr>
<tr class="memdesc:a0b0e88a17a2d0503d6194c8500ec7f6c"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Software Trigger <br /></td></tr>
<tr class="separator:a0b0e88a17a2d0503d6194c8500ec7f6c"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a0b79fa5de2a0c2f579a7df8861a1bc01"><td class="memItemLeft" align="right" valign="top"><a id="a0b79fa5de2a0c2f579a7df8861a1bc01"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a0b79fa5de2a0c2f579a7df8861a1bc01">REG_ADC0_INTENCLR</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C2CUL)</td></tr>
<tr class="memdesc:a0b79fa5de2a0c2f579a7df8861a1bc01"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Interrupt Enable Clear <br /></td></tr>
<tr class="separator:a0b79fa5de2a0c2f579a7df8861a1bc01"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a5c2c445c5b9dbf2b0d3e8143eb858546"><td class="memItemLeft" align="right" valign="top"><a id="a5c2c445c5b9dbf2b0d3e8143eb858546"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a5c2c445c5b9dbf2b0d3e8143eb858546">REG_ADC0_INTENSET</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C2DUL)</td></tr>
<tr class="memdesc:a5c2c445c5b9dbf2b0d3e8143eb858546"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Interrupt Enable Set <br /></td></tr>
<tr class="separator:a5c2c445c5b9dbf2b0d3e8143eb858546"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a63608c90cac35807698cd20ef4709a6f"><td class="memItemLeft" align="right" valign="top"><a id="a63608c90cac35807698cd20ef4709a6f"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a63608c90cac35807698cd20ef4709a6f">REG_ADC0_INTFLAG</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ae361754be775bb192f85821d3ab33c17">RwReg8</a> *)0x43001C2EUL)</td></tr>
<tr class="memdesc:a63608c90cac35807698cd20ef4709a6f"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Interrupt Flag Status and Clear <br /></td></tr>
<tr class="separator:a63608c90cac35807698cd20ef4709a6f"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a4d4e83d475b9725af6adbcf73a534fef"><td class="memItemLeft" align="right" valign="top"><a id="a4d4e83d475b9725af6adbcf73a534fef"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a4d4e83d475b9725af6adbcf73a534fef">REG_ADC0_STATUS</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#a0d957f1433aaf5d70e4dc2b68288442d">RoReg8</a> *)0x43001C2FUL)</td></tr>
<tr class="memdesc:a4d4e83d475b9725af6adbcf73a534fef"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Status <br /></td></tr>
<tr class="separator:a4d4e83d475b9725af6adbcf73a534fef"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ae5b477ada134263a79f333977a355445"><td class="memItemLeft" align="right" valign="top"><a id="ae5b477ada134263a79f333977a355445"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#ae5b477ada134263a79f333977a355445">REG_ADC0_SYNCBUSY</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#a5d556f8391af4141be23f7334ac9dd68">RoReg</a> *)0x43001C30UL)</td></tr>
<tr class="memdesc:ae5b477ada134263a79f333977a355445"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Synchronization Busy <br /></td></tr>
<tr class="separator:ae5b477ada134263a79f333977a355445"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:af6e1172e49d902e0ceefabf7e0dc73f5"><td class="memItemLeft" align="right" valign="top"><a id="af6e1172e49d902e0ceefabf7e0dc73f5"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#af6e1172e49d902e0ceefabf7e0dc73f5">REG_ADC0_DSEQDATA</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#ac0f96d4e8018367b38f527007cf0eafd">WoReg</a> *)0x43001C34UL)</td></tr>
<tr class="memdesc:af6e1172e49d902e0ceefabf7e0dc73f5"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) DMA Sequencial Data <br /></td></tr>
<tr class="separator:af6e1172e49d902e0ceefabf7e0dc73f5"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:ac3f568691226998d2fe342ead8839cc1"><td class="memItemLeft" align="right" valign="top"><a id="ac3f568691226998d2fe342ead8839cc1"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#ac3f568691226998d2fe342ead8839cc1">REG_ADC0_DSEQCTRL</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acf1496e3bbe303e55f627fc7558a68c7">RwReg</a> *)0x43001C38UL)</td></tr>
<tr class="memdesc:ac3f568691226998d2fe342ead8839cc1"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) DMA Sequential Control <br /></td></tr>
<tr class="separator:ac3f568691226998d2fe342ead8839cc1"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a821cac42870b3e9378da57aa64293d3c"><td class="memItemLeft" align="right" valign="top"><a id="a821cac42870b3e9378da57aa64293d3c"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#a821cac42870b3e9378da57aa64293d3c">REG_ADC0_DSEQSTAT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#a5d556f8391af4141be23f7334ac9dd68">RoReg</a> *)0x43001C3CUL)</td></tr>
<tr class="memdesc:a821cac42870b3e9378da57aa64293d3c"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) DMA Sequencial Status <br /></td></tr>
<tr class="separator:a821cac42870b3e9378da57aa64293d3c"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aae29476dcfda186cbf333babd08b7697"><td class="memItemLeft" align="right" valign="top"><a id="aae29476dcfda186cbf333babd08b7697"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#aae29476dcfda186cbf333babd08b7697">REG_ADC0_RESULT</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#aebf6e33c2d49a802e06e22a95ea9d0d0">RoReg16</a>*)0x43001C40UL)</td></tr>
<tr class="memdesc:aae29476dcfda186cbf333babd08b7697"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Result Conversion Value <br /></td></tr>
<tr class="separator:aae29476dcfda186cbf333babd08b7697"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:acf6c96f34d09ae75760f59c38967ade9"><td class="memItemLeft" align="right" valign="top"><a id="acf6c96f34d09ae75760f59c38967ade9"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#acf6c96f34d09ae75760f59c38967ade9">REG_ADC0_RESS</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#aebf6e33c2d49a802e06e22a95ea9d0d0">RoReg16</a>*)0x43001C44UL)</td></tr>
<tr class="memdesc:acf6c96f34d09ae75760f59c38967ade9"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Last Sample Result <br /></td></tr>
<tr class="separator:acf6c96f34d09ae75760f59c38967ade9"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:afafc7b0a4ce23db4f0cd5911b382b562"><td class="memItemLeft" align="right" valign="top"><a id="afafc7b0a4ce23db4f0cd5911b382b562"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="adc0_8h.html#afafc7b0a4ce23db4f0cd5911b382b562">REG_ADC0_CALIB</a>&#160;&#160;&#160;(*(<a class="el" href="same54n19a_8h.html#acce07556c80fc352ae607f225f19fed5">RwReg16</a>*)0x43001C48UL)</td></tr>
<tr class="memdesc:afafc7b0a4ce23db4f0cd5911b382b562"><td class="mdescLeft">&#160;</td><td class="mdescRight">(ADC0) Calibration <br /></td></tr>
<tr class="separator:afafc7b0a4ce23db4f0cd5911b382b562"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a7279f9e7d4ae97ad2a0e2ce2d5f99b8e"><td class="memItemLeft" align="right" valign="top"><a id="a7279f9e7d4ae97ad2a0e2ce2d5f99b8e"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_BANDGAP</b>&#160;&#160;&#160;27</td></tr>
<tr class="separator:a7279f9e7d4ae97ad2a0e2ce2d5f99b8e"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:af6d9ea3c751e05cf0d99244e332435d1"><td class="memItemLeft" align="right" valign="top"><a id="af6d9ea3c751e05cf0d99244e332435d1"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_CTAT</b>&#160;&#160;&#160;29</td></tr>
<tr class="separator:af6d9ea3c751e05cf0d99244e332435d1"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a10d39498b8311f40ff238dd5ce85dd01"><td class="memItemLeft" align="right" valign="top"><a id="a10d39498b8311f40ff238dd5ce85dd01"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_DMAC_ID_RESRDY</b>&#160;&#160;&#160;68</td></tr>
<tr class="separator:a10d39498b8311f40ff238dd5ce85dd01"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a69ac879b25d16e604821f76521e2efef"><td class="memItemLeft" align="right" valign="top"><a id="a69ac879b25d16e604821f76521e2efef"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_DMAC_ID_SEQ</b>&#160;&#160;&#160;69</td></tr>
<tr class="separator:a69ac879b25d16e604821f76521e2efef"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aa1b3b4d9cba40e82decbc3522ffa0e28"><td class="memItemLeft" align="right" valign="top"><a id="aa1b3b4d9cba40e82decbc3522ffa0e28"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_EXTCHANNEL_MSB</b>&#160;&#160;&#160;15</td></tr>
<tr class="separator:aa1b3b4d9cba40e82decbc3522ffa0e28"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a6f1acb6df0affaa0c12ba765fa51459c"><td class="memItemLeft" align="right" valign="top"><a id="a6f1acb6df0affaa0c12ba765fa51459c"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_GCLK_ID</b>&#160;&#160;&#160;40</td></tr>
<tr class="separator:a6f1acb6df0affaa0c12ba765fa51459c"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a61bd276272d9d314b5b738dcadd89451"><td class="memItemLeft" align="right" valign="top"><a id="a61bd276272d9d314b5b738dcadd89451"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_MASTER_SLAVE_MODE</b>&#160;&#160;&#160;1</td></tr>
<tr class="separator:a61bd276272d9d314b5b738dcadd89451"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:aa4d7e913b76ea3572b81e11ec9a8f20a"><td class="memItemLeft" align="right" valign="top"><a id="aa4d7e913b76ea3572b81e11ec9a8f20a"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_OPAMP2</b>&#160;&#160;&#160;0</td></tr>
<tr class="separator:aa4d7e913b76ea3572b81e11ec9a8f20a"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a9a1e0383e6b0cee0b4d4a003a233ef2d"><td class="memItemLeft" align="right" valign="top"><a id="a9a1e0383e6b0cee0b4d4a003a233ef2d"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_OPAMP01</b>&#160;&#160;&#160;0</td></tr>
<tr class="separator:a9a1e0383e6b0cee0b4d4a003a233ef2d"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:adcca29282aa3ddebcd649d30c39fcb93"><td class="memItemLeft" align="right" valign="top"><a id="adcca29282aa3ddebcd649d30c39fcb93"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_PTAT</b>&#160;&#160;&#160;28</td></tr>
<tr class="separator:adcca29282aa3ddebcd649d30c39fcb93"><td class="memSeparator" colspan="2">&#160;</td></tr>
<tr class="memitem:a9d3e577532ebc0936abb892a77828839"><td class="memItemLeft" align="right" valign="top"><a id="a9d3e577532ebc0936abb892a77828839"></a>
#define&#160;</td><td class="memItemRight" valign="bottom"><b>ADC0_TOUCH_IMPLEMENTED</b>&#160;&#160;&#160;1</td></tr>
<tr class="separator:a9d3e577532ebc0936abb892a77828839"><td class="memSeparator" colspan="2">&#160;</td></tr>
</table>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<div class="textblock"><p>Instance description for ADC0. </p>
<p>Copyright (c) 2019 Microchip Technology Inc.</p>
<p>\asf_license_start </p>
<p class="definition">Definition in file <a class="el" href="adc0_8h_source.html">adc0.h</a>.</p>
</div></div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated by&#160;<a href="http://www.doxygen.org/index.html"><img class="footer" src="doxygen.svg" width="104" height="31" alt="doxygen"/></a> 1.8.20
</small></address>
</body>
</html>