From ef5cdb3bc821213f2f21f209bcaf6ee0aa533ffa Mon Sep 17 00:00:00 2001 From: Penguin Date: Wed, 20 Apr 2022 20:49:28 -0500 Subject: [PATCH] pi 4 rts control just werks --- Makefile | 5 ++++- README.md | 7 ++++++ bin/sbm | Bin 0 -> 148840 bytes build/main.o | Bin 0 -> 198920 bytes src/main.cpp | 62 ++++++++++++++++++++++++++++++++++++++++++++++++--- 5 files changed, 70 insertions(+), 4 deletions(-) create mode 100644 README.md create mode 100644 bin/sbm create mode 100644 build/main.o diff --git a/Makefile b/Makefile index ed6551f..266011e 100644 --- a/Makefile +++ b/Makefile @@ -5,7 +5,10 @@ MK_DIR=mkdir -p CPP_SRCS= \ src/main.cpp -CC=g++ +#TOOLCHAIN=armv7a-unknown-linux-gnueabihf +TOOLCHAIN= +CC=$(TOOLCHAIN)-g++ +SIZE=$(TOOLCHAIN)-size CFLAGS=-Og -Wall -fdata-sections -ffunction-sections -g3 -DDEBUG INCLUDES=\ diff --git a/README.md b/README.md new file mode 100644 index 0000000..8fe476b --- /dev/null +++ b/README.md @@ -0,0 +1,7 @@ +# Raspberry Pi Serial Bus Manager + +Pinout - we're using uart2, aka ttyAMA1 + +| TX | RX | CTS | RTS | +| --- | --- | --- | --- | +| GPIO0/PIN 27 | GPIO1/PIN 28 | GPIO2/PIN 3 | GPIO3/PIN 5 | diff --git a/bin/sbm b/bin/sbm new file mode 100644 index 0000000000000000000000000000000000000000..696cae73e720d59045f6004d0783ca39781f34e4 GIT binary patch literal 148840 zcmeFad3;<~eK&kZqmg7^S(bOpj>)y1Wt7N{W;EKoBp!`M(!|otWM*W^*=}TMWD9FU z8hJ?q1kwN@4SU&=KpuE#DWoN33AAj10AVRxTb2Tal;vqc+lPG(;P?CeopYBN$w2$Q z&*y#q@Yp)%p7oyfx1V#Ln;h(`3Iq)27c{Om7n2WFEilh`2KPno44+fWaF&|%l$9tad}evPB{iy?onOtQC-gQ z8+CricgB-r!oM!vk4nE4Y5X~UWw{f&T%~VGDYv`s*yq1jn)vU$FRRO4qARZS59s$f zzBAX?p&ZBMkNr8*oYU_+-#m=!@pODWoyJwuQ^z`DS4~gsnVy+j^fT~0W~pM_I{baH z__>+xAK&9_2d0(%{u!b5Wicx7h4aMUb)NVSohSaD^TfaXJn`Q@PyDCP6Myr0;vYCq zJjcbtU*(VEYgI-f+;X1y_aT0zaqBxz{3*om!e8a@F+{90nv6RRsT5~_8Mb|VW^UGA zER8LcY}>F?g&}*QxKKPkwOA@H6owMhbF;<5*sd;G*n zgji^-R6H|PGRCLp7K_H*d~w!TETO#%#?;(+X&QkA1m+fy8~*H^Jv}!*R+^e)W$5Gh zNmgY~j!jJ)cpHk2UwI{WO$UQB?$hycG=!lDF$jyx3!y2wT@!K}2 z`1e)BKdAH1A5!T~ekc5eYg9a8hSO%oeAO3E80Gw)@Wq=>B>X3R@%6s=ANu0aargI2 zU;J7()^OK9->I7}sap@JOttXz&`5;ki+ART31MHnMoo-1T7B^jZeVk0mKlKF({{zEBA!FO%>) z872yU>JACNjbWncr{*R6CWeW!pSnfDuVp~8WCL)X*m{$*(ghUXDv zLi9D8Ez6w{N4@{JVj>e>m`w|9#ECM}8R`2z+ATi@z&fgbJ5*g^d*z z8kP0kaqwS})mZ8rxbxt}d>S6O`+KGOfqM?V6QL*9pvzAVz`5xYq4yyoP+7h*{L~G| zeCN49De&0yes}I1YW_uj;NBh2LD_-3zxCw3h#Ru5Z3{QN|6oxA(7yBSVEM&QB-To{21BXD5^E{wp15x6h{7e?U12wWI}|I0^!`jM+9il?qBmCnY8;t`_{ zs+;1(-o1M*(ev!PbkPWG5AN?mKgg8-<_G7_kz0P~hv&{c1pfo@!J!#n{L#5{AA?`} z<8$Ypfd6y&)nJ@9;+em|tvMrbrZKR+zP9dVf!apKQ<-@S%I{(Z{aZP|VO)ZA=AXy= zA7X2Um~AhuD=y=H{vgZc6PuwG7XJ)R!ufA zkA=T8_`4WsKY(wfO1BM<8`dK((^QksDazY8O9VFWIW zz=aXGFaj4w;KB%87=a5TaA5>4jKKeQBjDJLId)=>T^My!{L!Y3KPS9eKRDry6=B-A z@#orlY2z^{#^e{%_GnEy?AWnw*I~!jY?}@{c5CF(_;Yx#pZ)IK9K$ctMqG|9+AaFQ zvDJE5r#rS)McqEfMooAw)U%0|`F^?>482q&6^{JDYd4(ea`|#&j|F`GZl~=Z-Lr-v@ z_K#?PQv0X0{{rp5TKj*l{YSL_3GF-eJ9dlxiNroD++Un6&COYn$lmDQh&>-|jYeA| zk#=i7)~q8fC(al|6>3z~9Q{n27Ya9zv@6%EcL)cMM`x!vGpABLcf)10`wU2BZ5`JI zjGf&&(cKeXW~2Jen=#{G+_DdGb#=9|N8we~{>vSP5vvZtgx09N1u0erPF3F|)n-)u*O+BkBNW3`7QAuFjr&# zt7_h0UMBv7=0Wk_WZL4t*}Oyi|7qSY{-2v475^{Ie-r;9a}8#>s^+iFsQ3??Y4P7_ z&Wiso^QGdy+kBh&?=e3v{v+lS;=k8?TKxB!o3T2oYW~*zw)lT%{!;w+n@xaoRW%R1tqWN9z z2eB-xYW~68rTsqm^=4r6ztgV|Y_0{st6vk?d=>refd=-Y{uzM=j&uFaKm*6O{*pig z$F)8jXpnNvfd(meMWBJ@c6PiGfO7wu9|34}bm6(K_K5i%1cC?u8VRFouEnA>I+;>? zed9#RFeU(&=kT}cMidW7@~#8-K?3)N%LB&qknln$(b)BxtYO^r^=AZ(*CFnWZhY6z zPoX+{%@5HLR`6b=e2}SQsM2WU%Z?w-1dPuk?n`bdiJ$uC?E&N85cgvzJ}~JPnoeB; z`)xFSE&f#d))E&<$@!M=qq|6GaT8Cn29vlf6FS_=7u#iT=Jx=1P0n~0Zp8FEw_I|-I9zeodox}j^GP-|$6$aup zjUS5{#wkPuK7#benMX!q--)}C{7~&WjK#B={0%qBSlfXCsH)92{t9t;IJAus`~Syn zC^1-f?ZX%ZbUnaG=tdxXUoi z=$fkftIT&HUsZjp`C;)R=4Zr@nqLt=W-bEoR@Jwg&lSJJyhr>_^EKjkn;XR6XI?D+ ze)CH4515_eUv2)o_}7^KD1O}hj?~*@{y_YM`Ly`GX04?6nQs=q-+YJo1Lph1PnnO4 zf7ncjKWJveA2M$cKW!ctKWqL%{Oinb(O=VU20{8%t?4jRAaSbJbea?N8=h<4Fa90o z=f!`4c?cv?Rm0upP2&Hlc}Dzu&6kM(67wzMztsGI_%ApAm-w$Tt3WDMHN4tv6908( zyZEm+uM_`1^I76QV7@~92hDeh|7PtD1-zEO9Hm`mL2Yd4>2>W?cMdnj_+$G-t)1HeV|KjQOzm z&oVzF{(|`<@k{1@z8^#zSr=0|~!Q(8}cxzSd9RsK> za3@k<;^YXNVEG_6E8i3Lf1A)C9B`MIbf_W1n5}+uKWv#Gk{kF_QEl0FGXap z%9)m&1jGCjfFAI2ChKZG2wl(mSJpF*luRJQYUmiD&ja?Xe`TQIIf&<<6f|l{9fkhk z0i+dF8Y!NDWRP+-r*o+C)oa-n5-_&}VT{YyP)OdR(Qm{QKSV%nb^&?(HvyS6#dBnLuWL`AWmsaOtKmArolN ze+BJXZTBJSvMnrEeck`W^X1!q%H*ohm;Vt_Z&^T8_@X%Ksy_W&JU4ITu&k{GyH!2+ zDMVbc`J*hm<%WQo^E1MB(v>eCP5Ii=nk!#3OST4`4Gdv>7g>$XdtH+z_b08MqrY^jB|Q7o5jK_!obPmAQc#!hd}L4O-1)BY5jO4P*1#T3LU= zYyS#?jiD@3s*UyB4EN!214lJzR5zf`&6|P=rZ(bvOU))GuO&5KJ^v7nO^2F3hUd+9 z+!!#v+7ziHP!4v?8%9%`e!*qvbyLSGuAaK!>n0HBlt5$ffwv&gC4sHMR48CHbxXhs ze)Vpo?2|w^_;)7}*e`+B;Nz_NfCRdNk3WdOK?xiR-am=JAqflw|KWNB;u6RPV_!$0 zM*^e47hvX_dN)50NaB{@MHu&{zKt(H;6(7xnKB@)o)3;<@iwI-PzqiNs;KE&^Zl6p zGr_0Uq0G>FF4;SR$1sRZ>9t((&kueFL)nz6y9c%18T`(D2wb=JJ_KGAyowbZUGq39 zcv#;j@7*z6@1L7e*UY#Z`R6`gtBSF*nUkQz*R*qOToL)7|`1G zC#%*n`YuM(F>2q3V5r@Ka{KN;;O&UwpFAi4t8zVz$hzu~IS??`@;P+>c)-~Hb5$Ez zwg6*Qm7$XpNUIMDF#ai{#ZeW#mxb@V5=|Mbk__bUq?~T%nPUOtqGJIGk>^ustf9eJ zvuQsHzPzfw9zK^bnL&Ss&@p!MDb*};h^j@+(-_9>KTy%3Q>PKQHXu;^wak-2O%e() zXw-6%hwcP9yS+Ie;Quk^l5wfX8oKGdsO!>z^yF*IMaQUp7i;(#CjX)*)q6sXP7U(3 zmv2-rV~wE)IGVpu?IWY=AI(?&D_-$il}6@O(u~k&9z*Sys&OJOtJ)_O>%sZ}IIZok zt*U<)d=3^lT{RUdL+FN4WY`##6+!k_R*V|4&}mM?lB)iLOp_%e6Um@aTZJyJ+24&C z9Rn4iY z$S|r5s)F}lf-(F|RsB2RvjQ^czVy&fW>EIyYC6cYD|9H!R%W8+CC^8O-%9Jq%&TUy z$3{&ITX*|2WtPd`d+jo6ew{_qosvYB-=CBKT)5~ysfSVke}(U6g&&Z`MZtitROpGn zMN%OsX$P1l3(uX{0OO3>f8j8dW{j>KwRL#@Ohtd>A^@LfQ~J4^}G z1y6qkfqDtl2ajBXz#0iO24A-&VC-m+z{cRyz-c=as@NLbhBdmQQBp1ne&b05)=9t$ z-Vf?y$42mAz%;?{fp6KdX)PCYtFbnYM%BDw34QsNbcON`>824n^)`&lS_N$={_qAy zQC2nG<0v*GV@k1zH#$1~(3erc$D|m=C6(nvcfA?uW|icAE;A4b1?0)M^EkCN+eh%K zN2P_7w0N&FLLbH$Y`-MmyQD#(GZ_R5#u3gkC(Uc`E zOMeB{??rb?G-XUGUACEO*I7cA8&$g~e3AwLw!fqm?ftW=`n%wB|56~O(^l8K25E2F zL65UW8I@``>orvJgIk!)0jKE7d#$nh&F@C(Pwb$_(v)a}#V*Yn;Dx&lkchF;LO3VE3mgLWGp~un`qWRzb7hsRJ z|9S^KmZogYd$*de)sp9zTj;ThXS!8*W1jl{Ik1VX0h7YDs+y<8udfc6B4i5%ObXd9 z`$4Z^JmXTcs^OESV$r{9D%Sivree*%Ybuufd!}N^|IJja`jaME^J^!jP8khf3V!5M zc*k}$G>l5c!D1YG6Nce=fsIdNx$~u}7iufKkZFGx*v$M=hFuLXO>G6x{YWuFe+tO6 z{Ud>kvk39etHa4xdmE0`9bS*AsHI4$mLkF}bO!4f-a-dQ#m-%Yw4EV5H`adcKj?-2 z_wDei8ejf3c-4*Jb_nA)e-)CZE|D?~nftcJkl(0&JLsdjc1j4~V zR?shj*5G}tU_b(0!QWvfccmn7C>Z`H1g@39K=3}uNOv8sJBke1;2Rkjl)!bt$1$n9 z(h|r8FMb4NvJ%J#pZyC2auO&6AHD^Ff&_+x7lZfOb%O+s1b_Ak1a6eTNboV%W=mi+ zxSh3)N#JPkENdH=!1ck0SX)s7Hw3q{wrL6682k}yn~}gxMos1e2;C|I4hjinI|x;4 zY+e6jBt3icJfdqUP~23vdw=k4ml_78h`|@5&fN!;h-mvlamF|(&(&Dy$g=zD&=PWO zYTTU&e(khjP~fPF^fqwS7*ZrD&vi!i*O6s+U+Ar>$WU;&3AVie1gc1S1NXKnl96W= zIf5*^v!Sn}NcGgOAp@S?@Q^-HMy zxm7ZA6vOIwJ_!0{_l?1|9B@iy<>lfSp`QTG?|x7*SCrIB5skWeC)ed?BtYS0v9mvodq@-8L*!DVw1Jar6cHf8$rK&ZQ0joYVzu-Nv96lMfq{?dknduJ;9Yn#gm#(U>p`K&+yM+v* zK)EtK^xY`NW0UF=CC!q-sJRKG?d7kRepBwOC_q6h?2=K_v^HQ|J|gcRlJl4WBlJd& z{w=|0aP1QD`4Cy?wokJ+0f{0q)NlKA0gd>iU@)S_%0||7fKuH3S;1UHnak4C51`uH zgpedE_0o+Rn^iwY5a*%tq|Fz788Y4Q>c$g@555cr7vXx5RT#lXkP=?AmLePMvi$oI zY6!A;?$5yFG+o(n(Y?200&Yi4EyXxW{)3Rne-K&1^F<#3f~$RyPv2z5x51H&6ue8u z4Mz3FcvEv>J)5wW@wJD6ysaDa3yqo|5*nWZ zdleg6_g>^{P_s$-y^j9uEy&!cqAAYTbv*PA9^Sqjt%s5K$GRW*4wiyD3-9ipy4%@WtM5o$Vxvbz;? zN&P|P?vV;YCy}c;7?2v)TmBk!8^^&yH9w}>*v1Sjr*=QvVT3*o8lw3ZDnrK2z$c^j z3+%+3A!Ka+WR>ivlTHRB^gE8pZ`7DP-;Lfn4v>7sRk91#eFepvpAmeJsnV&M(MJ*U z3K`xe^?U`3t@+hzIBsUNH(RyTGpuFg7V1s5 zFj)O^O!1YMDU#&p5TmxVZhJ9u)NTN9ujz{ij4R(L9i#q2NkbO!WYkPv1C4B_2ueQg zCOt=zPB1C9o&jn(^w15kuKU)p^pD)w!Vl1fRl&Otp-TL!zcLmu_G}k9_vQxxIIX=) zb?IH$%boLvvG-J+-18QE!7u{PlR$Owo!>y<`4XrLZhSTZcS@0Z+#!dOH{(xsX0Is@i85uSE0%Wl1$J?1ZTOCK-(nAw|v5 z018PD8;sRo??+3w*Uw^2Gy0p%#C0Qc&|uWO_a#W*CKdduEX`=S>ro)!me&xLhIRoC zTs5hd!bQ7vaU*o!8bse3XlwuwKIlX%EGvtn=6An@nBfYk{-~Rdt{4r**0XuTh+Mj+ zh}h|`a&w%({SP92$DR!+gC7HCU^oyl1BKvfUc0d8)`NyoY2*{Qf7K-z^{;_g zVXqtU2l#31z4vD-0@MPoB?XM#0Lqp>j`+`1-BpW*aim$t<24A61gsibp%4KhShwmdinLOlM}}J8s@$he?9oS>dg?tH*K!RyMix&__?hM<3{Id z4&4kAr|=i~E{fi)Umb8B0Bz*Ae(h(_sb`!+p1TlnC;p!C69oPY53j`Ej*>3Pm4HE7 z6|A-pjURduh@$%UBlk~qCielE<+pkDE+jwW%W(b*5pT!e(N80=qfw7NmmX`v*k89Q zxXzMNaGL%`SIHGHfD(8&22Ez@ssb{zvqsZLbuKQSlm1*7D`W~>Z8UvKX9*$8oo*K6 z;-_>xm(ayO)B!3G!OW>vtho&Sdg}h!N7-C!jObHXp4G=W1svFIuK^2GeSG`Z07ADN z&-3{}0cj0Jl-ncN%#5sPo88Lb2JVw>ivVfC=8KuuU|j!1)G+vG1VTm%@2;vd_L=+5 zZgVRF!8Jy(K?PGAx&W-IH~S(0_V)&^X_6eFZZoyvKvMvPYTQWF;zZW2HO!rLd_mnM zhG|}H1_44>@s%-f2dn)>W*eMBX3D7A`W&p5dNgWh&3?Ruty<&eMueBUfy-*`WzvgA zon>b&y0Wu&wjsJRaG+^o1KYRGSA6Fh)#mkqKuQuf>_qvi(9@05^_?5{GlE^;v>{-c zYAj|OSkLASfnb9Z#fUA9P9L}KHT1aXA;6j%2s|62K<3*PDjUS@cvIcJox3^c>QxtQ zK!yVtmmR7Q7IDakY^d7u-X5$6%p7Y1-0qaV?(9Z8RKr;NuClJz&!QsL@7-ky7?acn z->6)oXI3T>V6vNS+_@TKzH<$PG!m3`0XXAS)W}qCaIKvN8xU3_w^{WEh|(Ply-0un z_68ywW*bzyuHoCPYoI)V`GxC-CC^NgL)Rx(7OW|+p7^~!?Exrd<|Q!${cb# zfy`0qSKO^0QP@#v(merFHMpld4J*q@g#m`li@b$Bglz>uTM_^PyQ#;RE$${` zZ~&=w{w{s_0QVT=%z0p+@N%Vzhl>Z9D^ zhH*W&z=5XO2KK@Dw-A6Vc&R7BjRpdNryJJLLwyGR@IW~h1`&Tm$u$NbBz27oZu65 zo?`Gvbbbk^x-N)@t%Fmu15Q;loZ1MVy6ME}r08VfRNug-=L5UdktPg|BWTRRS#_Gu zSvq&oc?lh{kh~K8t-Bw=;9D5?H*l&y%HS91d<#z9t+jBTFfDYdwPCcL2(lKv9g2^l3RxQA(yOsIg z!h8${UqI(oa8|t;PW?lC`ViwDr}Iw?ewR=GLg(|GnSaMq)eq?W98Psr4V(r#O>ly{ z=tSX!IJ&|8c&d5@oa!Wg`3Rh<&(IMu`*)b|13Ks6)YOxD+X<&e1n)fzX6f7rr)q*v+(-3v z*3vQO5x16(`5ZX)-0}5%TKlJT_+&o7gujFnd=H(E(fK@`Z_?og3;qY6eg&t#77R;} z&RRMqcV0c6wRFrzralACY8d9Ms&e?B;MIW|h;yq0lh3cN3N-~y>kXsTflwg0R5x0M zyXNtT+z$5=tPj+ThN^0Q5?WmofM56b)j{Ol5(ou1ZVJ_I+!ESe6R0bpf~uO}&4KKu z(0a-D4(*@N{!~RcXikPqRb$VFP_25}BvFAgbuSAAYl0(ID2n*iqt(@+Kuz$A#vAa? z&{jlktb4y|@^GLE=Pp!>9WtN&yS8d0qT~6a=|qp6&km*vb|IM?N@em^n}J)>k6YsK zum!FvpDvEgF3sDM<0V{KURszswp1!whMiB?341V}?zi*9*=#0PuyfW*k*Fe-E)2y- zZT{I->zU5U^539gI~S4<#E&HHk;FheXAf8r!_H>%sZsk#hdr3>N%h;AzCL)?9;Ec7 z3VFM5G@H!Zwmq0h#0QghK?>n*c3(VS=(2}WX)C-Z676b6{(P^UE#!JreSOGh8S`_C zQ>Cd>MSF4TIImRCC3{o3WTFtB9h)h(%rDH9=2~#4``BW$#TZLsEZZJioIxkTwjIw8 zrQ<_ME4*7}!#gk}g@If$jyL5}8912&YLrn~A>PQRGKt>gVDDfeg;DA1?n1Y06pI%I ztky`Y`gvnBlsS^bdryr`H(NODvTxta+^M3D;BE1^9p25{-DMBwlXjxJ+fHPLvV+M{ zj;1|6KW|UX;yVjPRI@O}yYLrr?kCdG+A(O%;1c<{)8mV7C7d@qZ5MKJjCHusGLq^o z3^ZG%;>`RsF0VguHJY)oG+x4}Of90`Qt^0k!JeI)MfB8o=|JIX>o%)6yEFq&+Pze? z4q6fG+54^1+4fewoy&dW*qX-+wT=SJvC7}fnxG<7G>!_wgpSGoZaGt^?#}< zMlzi#45w4qS)Co;xXCIWObuaQ9T`dvS*>2ukz}qXlTXTQOtwYOgwHfvXD~B;g9X_i zkybl7n#Brh>q0EfZrM^9x2nu!JeRcN>0UcO63^OQtOkoNpGx;*`D48$lKH%>xAG`d zg}H2t+KKRZvo&tm3GN)(ElGR|peNpIb)k{={MbZ0ynLZIVW*M_yS?3AKWI|K$lIy> zaH`ir7WHH#vj7}O!IYQgmZpuV#fhoo_^LqxYdd?mfT}WCD`p%omQIhK7{kX5oSn|) z86V`vEo5?bIyr($rWP0H$Hw{n1g^ZnBsOtJ?Dv5UTPn5jxd)3aaK4v-!NjJwdoek= zAwmJ9ckGRIwny+k(j9B-YK`KdwL2Qab9Z}JG}_q_>u7H;?um7Gbo8Mx+R$g`lZDJk z8WUng+S&KM!SrF(cRm@+5FZo=`xo0aVw7g)CvmF}z)d`#!o(DEm>Ro~NN}b&$!$@< zL1|EQZ#3H8+S%6C-USbvu&t}DwYxRi7VV65wzWlLt+CdQ;-0qFu0E_2d?XH(rPXa=T+IOQtX0lkdZ8lLMJNmMFkd0w6WgCe1EnQ<(q! z^;V>{jV(JaAFMbrhR}KDw$8RlXKSpjy|Z|wGhH@zYmWE?HD>HYHmlb{-X0LVkO2lA zOr?iMZETdnAjSYAIgDkGkyM-1+P&Kv25LmQjBI#zq1g(D#k=}y%Z<+ur1}cY)@5GI zP&|Ja*l4gXkIJx@QyJ+F(0l<gC*oC>g)zxVv1~X|ah@<%*l1 z<`@x>F=&q#(!G|fGZY2r$P*t6I0n+>3QrW0y{PsGAkXhfRFj#-7-Q2P)=jWyMy!U-)H!I( zmrg7c$0l4dW`1ryTs%`82NA5k-pUb%Hp z5L}As*O})f2vPtO;U-GR-&Sj{#@1y^IOGxbxzC8>O4g& z)+xX}y2P?r$ZV*kRe6sP8w5&pTa%Mp)o04O5i>+ZyDBG_Qo;`Q$nMJTT?5M@G77M#xNc3DBW6h!O0F8u~4qd0{ zdj}!;!WeS3{Zg4UCP6wkWam;Adx?j1ztj-5K?kC4)pR$c(}>M9ikGe_RHkF_25$4w zOg5P|@G^yVHIa@t3Rj0M3esvATOczqjCB$j=9bqCUs)PfO)7Jp2BPgT_E5m+?Bc}C zls978gd)~t*9%`|FN>1aW4;QQE>a{QffRtKh|@igofzrvZg1^Cv+;qfwx|%pz1V}LI zfP_&GBoIAmSnKi6c48SIUY2N_Qr|0cwAkI8G2C$x>5Rs@+S^+}`eTASLBe$bfpm0t$0D7P;+}|L5-gFKu`>$Z>vd>b6LU*o zn8SZO933ocB(O%M1O$D+Ckhh)C&^s$y70`{Gh1e+W=ZDh1e^Od($Q>PY3Ud+58#(< z>*|BZg#yi9jxfvem6IJY#aLo5O(U$R`*8>ZCZ~&M#ugUru~WEwl5aSG3-58ACJuP#fQTr;O5`9!HYVqnN{}Ox7?+7xB19qL z92qR&7-X~E(Pgdc4kUG1^X&h{>_YXTSRHM^Qk_4f73 z?1GPy(XspSRZD;(Fa|^*09g3UWh=$b7>n!Ehx4UKJNYd#MqqJl(0P%zvS5cjWP^a< zCqL~;PzzI&XI+lSa#^*p>C|L#)*RmpVWai~>3PR($rgVywYOBy&07(Hz%> zo`z^EWHwmHSUx!!Ux+|QlL-;U3vHVo1dJG^DnrO}FX$TO~huJjHq49J$n;XKy6QmgFNPut$muVzP zEEm!ltpu{VV@8@1u0DW^yxrFe^j`_JNEMJ)kZs*J2+1X}2N_%fO)J*g&2=nerjQ31 z?S6rRHs}n6T0pRp0IlTjfjnl%=g+b@hMu?)3_id;X^I?WgZ6-8{Zmwm2#6pNfHeS7 zZ?-_8of3<4p=(s!SuV!V^LxHTyqYuJpG=FyMF?GhBQzg0JS5!HbEk_7$ixM{G&?mu zH&Mjbf+7DIn--x}XQXvdGjV;H;T%W1f`4uEnnwy%iOGFCTRcKaUFXkYBIE)=iB6M=Xo*7#_i9lp{kfcSJDVJKd zo$l*p-I7kNTtCLB|+9L1HLxfTn3wM5s+~m2+t@m_`F(3*#JOV zkxt+cY)dW;tb)N*B88rSNY4YOfY=&>5CA)qG87-0!Y|7%gBnBAuL){KNSmnFcR+@XMnQ-p(+;tXns_|unHOmxfHPBM;*nR) zJj#_XZ-|Yk8W6+*k=4M6h+QZ-;Vfd%qV_OV1kMvkGDz5L+5H)6$vCbZc4{z-EG0`7mAZm zU(Ajd$y0Z5zX6g!e+*I*fJbd?EkVj<0L2QJdY?L%+GosSX1IqWuT~plp+m{xpyGHB zG`hy5XuZleVw$o!1M`CgS-?})d69z!>>*HDq#RR)6oi-819YUbP@qzU>SSJ)#R``v zxi|%7n<2)2Hd;JGNaIO#)tC|9LM7ys&ZGf8_-amuQcplJ0QjLOd(i39r$wJk8O`zp z$#~7_r3FNJ^w8-sNVb<|Avm1Cz%MRM%eUF_0rWG3Hx7()c|U9y(S~r0OH(sN%$QFI zt&m+0K@0U=GO1JeL_4T0i0u{tNEb^2MZ1K;Ra2Q5pc2nhRp^D5${Z1N!xg5V)gBz} zlg$eChH2aq(AWL`5%Eqo5WR(KMC-SpOjy z3RoS)dNh5&;@3#J96!6=wa3TiOG_9{!tJ)!7-ioeFuAjR@{Z)5wzgg-5hhg1z{+Y) zBr^pI4y4!^>|;yE;b(iZj##TL79lqWL4c?(UAPr(Z#GJE8lgEeIg*S+A(`xx1q=bF ze*cPcnzBaN%g7HO(cvr4`0 zknv!n9K{(lgfzXOC@IoROiPzC?qs~rN4^123$_%wT9-m3KvPhN>!I+mnZWU4rE`7y z5A#)tShAOPHlRj;4|_3sPQQlrdUxNT#if`9s84B?L#9dP=HRRZ$z{_ED0e`a)(&Aa zLV{|o80)=I2uuypA=2bVqS;Kmpc<@MWzha0v`|~+x)|yK{s3=GfDTDAC{4{sKMRa> zy!Tomf`qrg6hIWgwMW_qq^L#F5P~4`0dRYuYB-9~?l5HSN(La+b{wsxEV67dD*KYS zS}>&XL?sYC+(qe)pm-2%QA%w9prV>(z{V7m>I-|-Cd4WPeSpXty@1LDLP@Ku8zSw# z3<#h0ZljNC2LYRcDm|&5Vi>@20Gfe>%)l%LA~w7@p6kz(bBMISfx<|dbHqKI1iA-;jJA*qyJkYXT*6nIb z7|BtX>g0#DY8F84^wK=&2#%xzT;;Z8cF$4FwsaPg1%m~48`J00uY>t_r;C$AORPSq zh5=h5TtBHiL}_9C3EZH^_FRM3DSC@3BHHb0ws3uOSuFN$7f8M2NM@MCB$XA(cz--a zHilde7)0ll+@a1ZMT%y_ns2d$9f##aVjtj6*u_X|RO$Q(&xFb?W#e!OmNf zW>HNxHz@(i_3ct~i=*j7%ZLjLnT+r}Z9r>IvosW?5)XzD7>A6V(*`2+&?L!80QASO zZI)UXJ$8H;Qw&-SBpj((poRn{2E$C;tSs^lC&4*5tb0YAL-er?N;Hf$466x8hf9@C zAL(a5XD4f85DW!PHm|YXtOQ zH1iO3Yr|Cah^k4&W7`tbE2Wg|BE@T~%|r^2478tjg+7fywrB8gf*g%AsLogjTP%@m zyt0@Lk5jNmu%aWPG^a(Y0Yc+F5F>!m?t|5@4TvH+y{;))G)+3NLB$S;o7|pUgwfsj zETE9fDi*@i%oW?VLReC-SL#qsx zw+akvJ&!XJwEoeCWoQpZ59>*pB#KE{+um4fv>Q7Hf}m zbVu7^Qbq$nZw$OOEs_KXF#+%+5zC!_FM}i)n)}iuJSMQrE;6>a8+L~69kKQZFlGdaMG%SE5yiDD3_vldV_7U}QNlX~$b=#l1gHh43OFjz3=m!rRh1L8pElPX`N{TkW0!qsA$F|0+L6=aVsO>#^Kr-igq1>_)pia@6t1?iPjJdh{c8tq%5aX+due?AuuBI zW2F&=P*`?`eWmCrH69E#oLLLKP zsOC5kUO_#Emz4L(CrNNNWNt**2cHb7LV&bF23LZl(_AAuM}U;d4E87!8CmJQ!$Vn@ zwe&NYzMaqv;y~>0A(MqRipKKU!~IrAyVBJs3sl}g#2a(yIOt;gkJ`gzpdvA2C)(t z&Gn}8P&|N>73m<1LP6q?`5PI{ID+qfE%+WGA3|1g7&dpF5v&*MS;3Z0OfBLKju;L9xq9aHP-@?4D`x-_c5we<+Dj95G_> z-Wm}QC?HvldtLysenw7bx$fI|v`qG`2D3I~v6Z(B!{_VKYc zfUef=Hdv}f@vpP93kLeIBWjO!wDQD2TNJ1f_J|7NljZ`Sswj(0&$S@5@^WH{bQcws z%Myv}R!l*QD7z!LN2O?zST~hR%^diqOe3M5my;BJs5LWo_E^yo!IE9A1ZY}1OHB#4 z9CU0jxKAgJ%ARvW2IV$5M$iXnMkJ%Ke0~52zqnsiCse@OX&UOr)6oj!AeS%-_YlVV zP8lEq{a$AM2g7==S^`uh-UFuqyM1M_ug5<}9hhSrEW&!SuNm0$y5^}9MaYSZrIy8o zad;8!M_cCR!Hz2>KTZz8J^(=eHuANK$F-nyVNo1M0_>M6n)h3>uh0iaWx`yrv5GL4 zegUL{VllIL-rP|ychr}g+!NGuu={~Inq*N1w$0X6E(`9x8IAD@?6+XPz}`%uTeQr5u2hn#T4z;Gr3z6p34sZ=ArRq7 z=t7RoO`HwelU#6^1o#;A$?37ShC|0hsd)jS%dR7x6_-8MI5EKmderBB`LJ_%xTc6VygI@#nD^qcE773_DhY9v+ZLdA=bIhjo@PB#X@k%t7pVIT>r? zob0R|1{j4=r3QMHaFcMufw4;BQz;sB3R(`t)eq4Y>*i+TSxa*z!*+>ME&GF9EjgSP zU0)3BI?wtYGoXXQ@`F;q+O-#F))ucKo#l+Ft^r3HXQ;v@kXcYTa2xST9f(;B>Ik-EilqGi^kcu!38Hy)vX(vL(D_xovJb)Z9 z5^g{Yd$F(_^9x$Y!*~zob+FED4ci4EGcVOK&R~2C;sMA6Ksiv1ag|gaA`f%~EgQ&1zYUkW8^GT|ZtFXXrpQK! zt5(cHWf;zXh>}H}1kqFui4dF@g)ptalNvaPVM&SBIm#I~gn0*=r&VbAQ9u9^;CPJRVV;X2T=9Y^176;P z!Hyu>CzR*JiM)lJKIJWuu2`(2Glr9RZJn*%?U1`h+J|{|1Cp-r#MD9yuOw)hU1(7{ z4s1+BigJ!QsG-(paBs)1WnM2$1n}yBE)#i#*Rjt}`%9^sog~5mRi$40lnj9c#SqDMiT9;jh;c?=iRuZb$x*hFzBB3XjtRPP~fnuNF z%%CT#X%+=M1Spnf$B#h%5{IQ0MqqA!Q79SQpYVT#lDUk-EI?jFOP)MMM1CYk>_n6o zaqu_;L{f`9(*VN9(drDqp3Kqg;4%U#PPx9)IU-}x%PiZ4Q_xBAOb;(I0ew7Cu?e$? z;vNL&&NIO_Y#|`|EQ3t27(I-;1nglPXWq37Ho347}(dS0jW-QvkM(m@CT=H6aBdijw1pDX`wnY^^N9voJmO&AT<$4%RQ~1-65q= z3dr@u+|q)FN~vBIEr+9A4ZB#DGJ;;7U!^hLHBhea6E=#6qewGBUgKzp%E?D94yr&O zezk=|OqJo66*Axrgf*}w(YJ2M#=!Y$P*+fLfNtgOHZb-Yfb>l(C?RNvMd9!e0SC_L zV;CK(K~RX=S}-|^<8%yCF>QH;$u(^`6kD-L`&9GohR;f$F*H;ID)TGIu!N3fl?$ILz)&M-`JrEnrljFc!1BngH~%lmHgw=MGf4i5O@y7+J!I+K%9e z3G725GsF_(Z9#n_ih)iHrm;1(waFpl`#I}75@`QQRC&!Q= zTyQQeIW~P#iC@E13W8$vZu zd&wC+FEMnhCb_!C~FI0(6tg9R9Y z-(+2O(0YzUXoGRee=4^WO}#w%s<15}`;)ms*iHbi3jyIL8pjqFiwh-xBI=}&+quoa zJ&U8R3xedPod&%6P)el{0~e|2SSp_Vl#aS-G=E z^AyRZ3El1tTSNQqHkh=`g8<$MiJ2SSQdU2OObcekXEIpM}UG15Ag!p zMIfOdBbU1H2qgW>)RE{2I0ALhAXylI)EWnBB3&IF5xWiBhm5m2ag`tLA^XDv2_n>Q z-K!epxVXIm_wMvUTU54J299Pk>f|aIa2{PQ;nX221!?IR$?;U5LtKE^@B)C9xT^_A zd?=RoJ$tVZ@ih#>0GEY8bSyKRCJe<70}|l6FRUB9Qi;=CttbwA;xK@|0}OWEV<(GX zIY9tSO?ulzPLx2?qccmJgw2N5dWGvd{IOg{kVmLx#0O^?ad*21I*CyHm$~8kFtWPx zB6VQ_!7R+0IRgF&jH08p!bKxvOUF-?yaRb6iG|(klVhB=UFg zp$o1Y7kWk<)Wz8hX^l3T%44s@dwIq!nd9LNwrk}ik{M?w#?E>ZM)9R*R&gG?i}Fm` z_5v>fKQola!RJwVr5oZfL(HRwnGen|PeVFaX1@hkQ)a*A-GGxy6P7CLeC#%8;}v#H z45V-rha8_UTHY>1bCfxRt2f~=lt&p@l+gYvD#r?w66ggZk;r$##RUe*bPpbIuS7!e zNPSQX;~W@l@Ban=~y1=HOIP!0JFbo^MZ$uv=h*hiO* zB`&kW;aDOJzZHPwrI-gRxDxTN5a*K?fwd3~H|4#+_R5|^AC*PF-4kj)r7MX*EiYkd zN=vZYux=H>jnmw?*FszzYKmhu%L#L3>ODC_+LtHHi4ln6G&^Af%GMl-=HdOG-TKKRykb z%ROow3)^EY_OTXwyv3equ|(XM&TXya$5v11x3{@BoG@bOC_k zEOvphgPHy^0PEdN5I#b#qEer8YHD%n*c49Np2ZA=cOOxQS82ZqYaVP(7f%8~EY5RZ zYIAz(TI1Z_TJ}4l?$13}^ZEG{D*F#9|MDq&$_X&&~~!i`ZT=eJCtNsq;oJ~TR*o1PF80lygl zOdAo0O85YF4teAR5DXD?soCObmQq2ETBQXy7^C7W>U6Wr6lY)(&e~9xB70OyPi3PW zfZV|ZVvwK^G>SlKnwq!)Su_-KmTp+8(jJWh*Y?`E;I*^RRcF;v)5T+(4 zVWfG&0#gPeM^5JRs!08ey1|;N!wZ^)Wy}h^D@-@YyrR7*K-QHJj+UJu9;}{IifgQ zMZmfOGOy65x!7Fdy|v6OhuuugC2u0k2E=4akra3!mkJMZ4iE#kTTD;hPWQ0# za5{7urNvXXs>Prrj=|&)w^h@i0i&&s0RWId^P%P0yAr#s+jv7nnrOh{@n>h7ms9Y> zoP_P7FVM%~H1u{&u()feGvN`=BRmSM;5|yoy4B(!ELxZ@=6HjN)zx8m6_QM*27=OF z9Hw*|B2eT=euO6=3E_mi5m^P)0+lxCkYLDBC$3%49k87wbwZ7+BDViqpa)d)Sjooy zBQT(Zco_tYBQ=vlEHd25Ocr+1RK8l>xQQ08$88}4BR#oH9Q38A;h+gF1KN40Y9LHj zhALrLNdO!AZj`3-gu*^cbcSw-21x3nf&;LKRvK|c(P#|jhN>2bcPDX$g1$!jwz6xY z-HK4{`N|*AYD)bcf02Fr)GP4reU^7sHQ#|T)&!QKPPE}5tm%2PU0bB9D~jurI-vBA zcEwuTp%?GK{lk&&E&zDwU~!L$JLlY&zWcf>WdaJ};p&~Vdp?@%h}?O*GPklgege8V zurH!fUWsE#LV1K~!Uam;N^IW$4f`5uBmf`c*h{dZfJ)UBLX}4uv?6i&R3#IIb&1#> z06ZwRru;NN#>zVf^ZPOwV10NuG(^eRfnIIxT2kg6=7#CHbMNeV5+PCNIUNg-ocdvE zRiVvL!l~t>Pun#>dwoG+~<$%;5q3uAVj)ct-Q7@CixXVe_i(|Sq7#{|=C70bekh@G@;hz^xs}a^i!YLqM z&6+n@Qa3TMn3@q+Kt#|B1vS>N)!hXmj>oCxgg#gG^1}!fRrSGAPgK_qAl9djoI_i! zVvsZo(ingp2e9Ol@TtXlUR0|zdR#1yxrDMsQm2D-9EM-Wuw`0QQKD**gc{5LvV}yM zNUY+%G^aoM*p{gL6;+5u2HIAm6Ec??SS#aWpQ0D07;?f&Gvf~ZFJ!+fStsm;{S6LX z;+9_l{}J*KGEeGKiVl&9p2Ri7#c9%O;Ae28*s|@)C^_i|mC~rQA|)~<~{RF zt?Wp}4fqaN;O4#&9Dos16aN zAlC!>Ndu*c#s>DRT!-PA(#g!J1_sW*-}(AQ!*X6u(9$g4KQ#O_l9^woPcl( z@+eNE7D*7{>8a%De`rmFtA<3?nNw{9jY8yEm|uXj0(_uX5-ZwHK>(n)bx*FBgn`0H z-OKOdU&7#HJMybB^Wg;vHS#NgQ61-?!$dZ7O6h1-#t86rCnJi^->Dc1RJ`U zIq9Gn0fI!|U2AO}E*2Jbwj)2FpLu`m!=!A7QVDgKjBy&rPFyUS# zo$BlW;m>6K^MgLligDDf%U4Wgiqr$H-Y1cQ0s+wR^a518wqQuqs=0-g?hWTl&z~%9 zf=&|V;N05G?1p?J?CMzY{VTE1Km-JdQKuKT+Hp z1uZI7#_Ycr^Z+4ATOcw3LNeXFg_75xKnKX)I8kyN3ggh8C0?uO(maT8l}cQe4giL@ zKmlOf0;q0Ma3HTX=I3!f=Lm|EgtOCXPm{I*^&{843mru8?Y24Eifqn>?O|Y0Y>3n2 z_)62vF|e_|l-V!tG453@1)Trysa|+rfb*g9 zx*!=9rqnz`GcAhg^!rGDdubvYB#YFgmmdy?ttPA@|i7xqXlb56N&D5D&AY6 zFNh?f0FdHQBj}FQMUk+h=E4wWQyS|sd2+PT9##h7=tY%Z|c0hhvtNE;~shxpW~i^QLc?9a(5H+taR6bXn!60hf7YY<9LZV zbZ9(sMZ;1YQ9~k4fpE^|xZ)WmczHM5-4)d|Dq6|+g@AYpoz4~blQkUr|by-|D`g;JJYJbe@=L0}Hc&FKyLSu}D#&sn%bKV#8NV!S08lH7?2EiW==BoWz>M3s#85dLTS<4G?J8h_S`D zWoC|16_59W?r`M#j1R#{GNd9FgpxO;jtO{ zLZ911EmAPWix5uFAtwMvpcylOq4#+meD(vwi966>o+_Z4+^1UIG;$&0 z#C_)A2>S80CRydnqg_iJO=yXQD(-5|;ED`=p+72eiLd2WIm-z&?-pmBh8gBETd8W- zQil>+s$s}hESv2`e82)aK;THfDR<>ed)C|zf)#*;5$MImQh-qyEof*+d%L3rnC0$q4FHNLBUR(grV_8*oBbpC%K>o=FvIQ1Q3mg@hHe} zMNX)*WZaqhJEERS(0`NY`3`n^H|u#hJjhxY#la=0+3;xt{e5V{r1Q`tr%tHH#>*5xv;1tX!abB^cXwk60XvI28lyJ{$;DZ#JSZZKnXv_Eqg0(V zd{k;4nAqT=V;2iKQgVwJ*NcXFTV0rh;?64O*5GEwH>_Kc9k>{^I_ZKMJq8)wgsFvr z49gjpE{JrL*K3}k3h-$;kGal{k7v`V1AijYwP5sm#eka$ro#_%vYY%$rp_+&*#tS zRS;-1ur%~h*y$cUTHP#yW0jU3abiV4wH4Q2~$P>VNX&b zL9$EeB+0>$Y;ge1)Z(z*g>U&NIE^}e*D$e8#TA{GFfChG;HL(}5>go}Y06gC|H_v} z;kM}&?y6n(>DXrxfhg7!1d{p;8ip?hVsZpm#SQ`?DAj;g;fkRu=|n;ea7m<;6qNG7 zEeKe3-GO(*pZ_9u?pM(iQ^+R9(hvytS<1d}Y|?+Pvf7Z!FdR}iywJ0)P-w-)GKvm| z5HEpU-3RG9n&p^PmC1C0N)OxLls6Udj=5M%97&jxX0K2|QML zlr&7M>4-b!44Qs0Dn39djFpTx30LRKG$h)_#&O(eg@ZZjK1QwrvUZU5lB6VeSGF`^ zxGzhSicws;!0jQO1lZ*D?pRjJb`Sgxtlr^^W1l@>}W{Z{0G5 zjrlbo+2CMTL>UbmR8Bl<6{Mzan*ig4TX-DdJY=SE&mW} zE*~_03rq}Rr02@u4R_Jt)~G2Qnw-TYQ6kyk*C%+1u00C#A&x3OG$h<5j8sW1sXeGK zXLACET%W=(j^YldK>|djX%y+CYfr0>JPM42$gND^1~5yDOOaQ~)#nxrE!`W@McsDf zJjPfSjk(U3h|Y*Y$kG0g#`MSw>`cN-+)xWKv&_hx)wrK9Dyw*(dT2i(Wtc(DRF zAUV%d8_o5FxA?3ip+Lal4qShWyC7O|*&nR5m;Z`;I{UmDwN;}!smCj2xOAx6#aclP z9WYbl@oGn_%dy7%Xg1UTks0USy5FBH07}WcDd{6LLg>8OSVB1G8!mVjEw5&ys9&Zj z3TbceiVKQ2)i))1_^U--YSF^$D_T@EQH#hh)&1Ure8*-czI(kOhvGPQ#ANKJumd8}lzy&sFRJ=%APRLk;vT>5h~pW>wXr^lxe6Ey#naeS zytDpDJcUh1F`s}Xm_a*M5QI{FdDXikaj}a;MOcrRQT+ur+}jI5KS>JbR_id>&dI4W zE$(&KS{AM2VMRbwSKqNDsz0O#elv%Rb$DhGs_-&Ofjl;odD|yAZf4Nxb|~6=2mc@5 z-UKkNv%L4#cr>0QK+BSljU*FilVi))zJw$oYq69_me685gfPfjY!O=$k{sJjSX!0_ zxGaU1y`_|;6ew$5TZY(U7pd10aW6^~%-a(|u$T=>j ztu?RR;GT1@cfh29wZtCDhj~l0pmqX-XKPaS$LOKTK=9&ji^B71(&cpx|2Q4aA|M>g&0lR*a=FsAyNnd(qs9W(M^n zM+1R1M)Mlj8_$flR8j>1j6^@f_c47zs_8-!PhnD|E14CkuH~H3Gx=JGb%8U~zKrfj zR+;KaCiXC*d-z}5(*fu64cDpi^N#@q61+LPBK=}V62!n^xyF&MUu z#>t*{Hd=@^Se(Ot!GM}jU=tpnxp1U~VgoG4pb^-bHZ%1LMhr5~1z7mw0Z%mv+cuhz z4UKSSg;UV4T^7?fHEUUL+3rl@GBX1}8&A=MPb8T{kF|Uwo1myAp$=vO-{l1{`K?XN zJnlH^2&^O<5l#b_+EYS6TchyQ5V4vWA*vw;Gby5vm(;$E%107@4A&{VyC&ROdAhnG zWTKP$g&--rY)wpSd$s12Zp?)8lyVzZsf9;RqM@#Csao{H+WML55;Xwv?57HnlG+rr zMGmho85A$+N7>1rH4rySQK>(lNyr|ANDrWgBrpteCB&tmH%3GBbUTZc$PhsjB*i*{ z-OA@v!}z7X?q0HoI(m9K@X!I$7%&=5%Z$%*=FFTQfK-AI1AWh(qK|z!n5`;K-o$!P zb9JiAXejAH)(qi$T|K(51mXZXMeVDD`i88q2h#*=(7HLkfjUBBs6`xA;)`X3P&>hW zQjZexRRa6XHo23@PXxJ_yoWT#v^$}jm~#gS!3l1^O2UV7%}#S&{>0g&P;MzgEul7p zz=S82#NFMEPoDBT`t)?()F4LIPn?Dq$g^t|?rrfQorG1AI6|VO04KbalcG;BUkOMp z_L?ta!CUKvEG8ihlu2VYw7Aa_h2%595pm|?GWHy3g)Ts-?5+wwz}-&pSO$`5cXh(6 z97r{Zf=MIbrVwLcA3^4M;8=uG=gg19xODq`>nwHbM&w%(=x#kS_w%Q;`LNVhSk#JEGk`9MqBm|byz$UpM=lLvyLrqaGJk|XTfLUo>1wtMU*yZ=N;l~NJ3BC z0a+Jx5{ZJ|j8HHDVykofcM!piB>S6g5}c7WSJYn73GN=LWJt1n@YNYaB{TI*@uDTg zO|=>qj%@_3bQ(NSxR})E>@q)Vu3V6h@>PwZ{B8YLmrYkuuhzAOVV(mE(F4rVj9(px z8izRu;s{op^MJc4%Sro#FE2&kGC>n{DatD<@n~su1144`)F(Pj6_H>?yk`yqM2=K~ zwTnIO#=m8vvZQt=E`KIs9Cn+kJW-S{L^s(B1iwXmKJrp9KL9p_1ZL5n85PM5&{W%S zGL<1wSrec=OwxN~e`bC*6Q;~`HcBoS%-Gn3eMr9o0xKQpd>$gdaLf!_{31krz!Ik$ z1cKz7b1Wvq5=!y=Z*=$8Yp}64XdmrXnLRDpz zW>fi)G@ziWXR`^o;~~P!DlKXH-buLs%ycL;y#hg6$WG;nDxPBOd)&+> zm}gnyw4S3XAn0x4U^2~~g(?#gpTw*uh5!mZw6_z>qmiddAgd>8@^vkV`WNcPq$?@G zmNkJvt`w(j2%$Cr#7qXMKMH_KKZ)~$$xo;a9`1pC7$aNY+Jl61SQr9dqa%}v1oYL_ z;h}&7(?WEWt=uNVKExK{v(SBvGc!GMEj(O>2Ir_(f$GJ~6gX&xO3;9&8xe6MC9wJ# z8d;lI9WKQxK3v}|yG50fHB>&pI}ns2?#!c2$A~EvP>C$we6BgW+Ol;Q8>qrrT|jFM z@66WPqW^Ix;5l;gl`0TUqf*uR|3cankvGN#)UEAD=n}L4i8^M4h$y$mzj*)bRqpmb z$N3pzquW1`GPgpZ&Um+I8_P+biJocxQlxn0>k`H=$FCSR2Rlb+_TpCxpLbEMVfY6N zQ&TMCLm$VdH%3Yr(>$GW?o6`!Q^UhT#-#)@H456f76@_@%6W>BY|OS}0|!tOon;^> z5P?(iKfH+HG-7KdCllm~Ba6$#B_G4wOklKTVh1pfhyjCgC1eno>Cmmfd<6wc7BTjf zl=(I)D7DoEyY&8W2Le3&z6?OQef#)ac-G7ljYv%1ox1xZjh(SjzVP*Rmea;A}BI+ zp7*;!_L?9QW_y|_fnx1OcH%x0vl)WA;{TNjWs-OGASU?`gB=QTN_5oCOmalO?(OdC zhZVI^-m;^Qbd%nG>RopBba(dB(9uQpODbOG%Wir%Q{>cbY-Cg7U?%)QcC_mH$*qOj zeDZ~3yS=QDm9wrpW!(Pl^smlDBD4JtC!1NJ{B2$E{_4f)vpWZOV3ruHvCt;#I6b73umhmEwwtze!jl`p`pKpD&T|=T!&nWZ-?fSGsa}UZ zL;DEifLXZ{GfNZQzLPnNyrCR0-c~jld%hvFv2+&Ju-Dv!RU{RZ#k!G86W|iwqXFPd z<7*;-fZG5Pdj%gIl#pCin0~(2f)x-^hPn9cUZ2j6@nM`WCY+Ay;*sge34zi>^rqkl zac~4>A1Z{)$-oC%*ogyKY3_}9bPit)+%K?!)lG%y+pzF1EKZj-VPPXUF-OK^k<+Yj z#uVW6Q{E)*fQTuY=z&6jwe~3-fYM}}El`PUqUPF>r!FmQS@?HF=g`T`j3ehKaltNV zRc@8RB5}(=!nLsQ&~Q&%CBjkk`a>fZF^QbY^35W=&dSPyLfIR^3^ECUqNDK*A&S`4HyyDR4<&#nZ^eBr3 zA$4Z<_L(PEvIl~Za8MfOcL5H-ZDX=X=aG$TYm}SStG=Fd?PZn$a;{llZ-}g27ZK2h zTWKQ7B%P5}L^CpVAS#0JN_4hmf$m^nPas2E_Uze%zD*};HX&)D_4vVA>(`Pc;ALS2 zbzBi}p#4cLztx(0Wb!j$?vzo@@_lFJlNGEWm8B35eTFAh5oVG}PJ*eW7+9*Q_DmO= znVJ>EBung2%MG(P;A1@9*&dR=C#%zB7b~z4EaePm$nl9f0SMJu4iL?StoY;2G5S=< z$ppM5pv(bn(r;$frmI<>IQV?Cu}HhyBpRJgWY=tctGtm=byHb3c+@Goto2PHHMY^F znWig5S0c#m(Oll>B>8TzSW+FP=uPxEXc;hJIJsFFCMqhbD)~5xUQ7&^E>J#Uc%Xg* zrpstJvg$NxwE-%nN@*6$t*0_>qx0A%>)Mrxzi${qG<>$R2CBh_Gdj{mWo}8hT(&{4 zuL#jmtfAOLED|_Otzd{Z8ZB^J&kmsp<&XPvf=unj7MzevG7)L(sQKnge&;| zO#V(*Imy$il=QnJAQ%tq{*1CF)vv;Jf<*E3*l6 z7&)r0A~9s83N!Hsn(Yu%gGG_*qtS4buET@u0!c+&FX|q`@sJKlVo63yCZp=@!*`@S zWd=4Neb}gk6Gc}?j!pz0R;fjnGKfY{;{lX|A}suv=|}jf z&~vCEa$=q8N1(-k=L6oS30aQK1c#m&LeL#zejq)yOifSFTbT=51l}ajrV4?zUU1Pa zvJ4~-8mhE}WK5S|j248R#PkO`CVXsp#e<{UdaVwL+aST6J+~XKpA>4d0n$Mk7hP4+ zBtun7rVNLa>OR$+20h=b8739R!m#wE!Ad!&W}%IPaoCemgy85@1{uAOQ1s{*4ec0b z`DtLKES)$HZ1rkUD@;NjY@_Zm4*U50$yKZ`z7AMMPn_9m@2yK$qPXmjDt+TiZU5Bl z)Ufy`GdnQkE=iKANaN9}nKyH*znlCS*x)}So=ac^i~;xnSNvA!s23HPv6m^ z6@>Q^0Y+Lgb2x{m9210@{akhOIAx6iB8mr6n&TDxI&-VXR!$vTIliPj`tMY!3@g6I z7$eo{nvN|j$1sZGy{%+&>~RlpsC8Q#kK`?T^gJv`T5bx{(LE;G@#j#4RKDOZ*ZW+9THK{xnzlXOQNnNyDnpLVU z=5q(@#pXum19u7B+wJbe&tlhbUE4IBV5a7+YSO$qJCxtmAkFKfC3n@QMR|UepKXwW zrPG`|TjCW7Qg)L2O7OD?ZRfIdq_HZO;G(HM-{kX1hLO6Nq{d_}1^swp0Q4B}=z-2g z;$%6?f5>y(8FYAXE*Do@*P7BEsau{k2!CBavT--BUgudxN~tcLSO?18Kp*N{%p(Xy zEc-wn;v$pu=uih{!bVV&RVCoAwG3D&rCn>on0m_i4k0m{MasN(RiLJ-V-(+6A(tBv z%`tuQe@m+C_Nvmo4gQKWx1I9Gs)y7fq!Ol^>ho4{rF>#Ty0)hZ@JO{LN zF*18+Vln#>5Jw4W7f@tU4!~`=!>#?@LnAi7Bpw;8bV7}CEm1>CN{-ZMN3k6yON=Pb zNypz!c{Ewfh@(qXNEooVeFG~R9Gjk|)?~N!*uu$;jq2u^6GzsLljgq}lGz*0T${Kc zV_7ifaK(a7(1i!u$$@JpRu+IaGketX(&~aSx?l)317TVol1W-Dq#G9EO6_4yxU?v%iYD8HWCcuDt<)u4vZ8L!wWOVGOJZ#1 z(7y2ji_AMkavM%TMV+w(dYJTaSnNuxDCT-6ZZu*=_*|4E4Vm+5o+~gKV{{|Zw3k(1 zDi8vKhnymideIaO`$jM@Ovo!9p9R*L{I@~g5O=mfS~clgvJL5v>;+M|2p#ryt+mtN zoeZ4o(P-bMNhb!HFK|bwgeQP!g>bPA4S}X>|tZ&GNIff#+!~)oj2a zB}nc)g}o?J4=V;%>uh;SBtun;*^C-EgO@RQ@kWvzb61$6<+U@{mJJkmH!E}B@N~{H zYqd2M+%8J6yArMtW`igC@e(Fki3cQOY;{x7GWJih$Y&}&#AieLMKM~CCwP6&LLHl# zctQ*6qdH7~T5sK?vLMFiN^2$Gu(OGY>!=Ud4k6;fwvz^E^x8a}x*fM9o)~APWBcT) zu({*)vMk#nH+7hXnzsDT<~1&xmCe(kcA`%zD?|i0wR()ToJcizX2yqyl}Bn;L!K+SCC^Gvkl}jdnOV(&_TA=qvGqQaDa(hL=h_0C4&}lv&8(S$ zgHxjf_gnh5&G&2rfxRSf+FX*rPb3MVw9RdOdlK|(EoQt-S5*TLWm^!j7GALAVXzVv zkt)QQq*2yFfH&JK>8 zDn*QGMTt6BIv68bC$(}96JgC3sinZj;KW!s)^eCM-mrtRGTqsaMVB=lzrk4>HA;fA zqb23OM*I3i;p2WelmZ^;**xsjN(s3OO-8r}wCL;*w&?Y055T(-65jR)e| z3lXC+n}IKn8oy&!S32aGA}qCmXGPRlzAu6fRLjg2^Ays7KF`xD5d%wYplK8(oV=93zbY8<&V{zibZ5_ zJ;Q@HvD-LT3DslWyy{WR=?Ga+vkrMB?9pEPoc=hw;n-^MEvjA~1oq_0aWL)EHMp); zi|J}qYkiETXa46Xe)tj!0?I$-T557#Y$4N$)_xAo63&AOQSU+17<)cZWu) zCrl~}Nel+l6z0(GA5*PE1gFA4k~q5-YEyM$Bo6M)iPxG;WBTlgNg%9 zo3cKFd|E(Q6Pct58JCvV6^1O;8|q^ch6P7z&yAqSH+449V23bsdVHBoRF(-|tiqMe zEFJ;higp-Z-N*=#+XuX~wP-g8FY_B`K)XRiq@GzI*5aOvia5`S;ZHo!4^dq`3BbVV zPHNW=pFW*n$9gDH#12nLul%mj@ZyCsS~@Di-H)`{z-ti2Li$Y*WnkRq!B^amNC;5a znHIA^rcFBm^zZ5F9B1-&w0GjY3J%%f+1v2Ph`68=5zHm;E4qqe^a%#{Fwz-XO(NV{ zTSHr4JyWHy0~UhNW9_|IEO4!NWsC{lr!Eq26w_d!SIh^j2Z!)z1$h&s-`L{#pSw|*8VO&4(bLqL`*_S zn=%ZK`X;-Ia;5w>7c84^;u*GN@j{Q{{*Evd=~Cql3}1WS80i`+YcD9=jO~?QyFJh; zc$Bwz>#Y2aXmD09NTz6O(5`b%kfd;HjNmzGkvqOknRRXa4p2^p+1eFJ%06Wa_w^g> zr_HBKrv@baNNK2?iOXgd8*AosB$1v4p;2`*!45M7>i}5Up+M0pAlpz!?a5*$I%Nke z^P0j{0g$UgDpuc= zFVvhU#Ts04xWTfTTLGnAZ#4Z{KnVLR$L=n*EP0X$vNzA3rB zFMv}}|8B_2#J4Oj!cq*3BD7RuQ~C&F0k}MP1_2I&Q)O|&(s+PZKa9LW5^ZfLNWkLG z+H{cXgK;-bge{$im>qrqk^zbP&8^$Dq?E}1tHLB z4+tZ)4ld#N&Z7Mu1DGT9`6k8)aEXa2+0wDN@>}~y0GmZBT2TxjSzs=iRVdRs>PUy| zX;^u&mDS85m`Qt^#??rVm5V*tuv{#yJ7pmD=rBG%JYRE1Gg-+hnix{hqly~;8pxm} z{7Hl(dVsLZmNjKYnqNEYT@L}YyL-(%l?!NzS1I)^`TCuTjL06RRbHS+g6R-7O^T>{ zolHJ43Iti>v6?}AHuS)3u3lV0R8P=+3Gy%yQjvaaE*)Q1{TYVN<^P#VX5ZQc@<6cs z*#1#8B`p|TP}`VjT*{iAL!g-?an(AnK05-)4iPRWbG@1DHsW4? zY9t=U1fz<)vjC1MqflH`k2l*@3n{FI3y0TY3#EJz<8>qjKLsgZhM5`2g(=`{8o;@Y z8^%o>IU`#JRJs0aiQlA(en8C+Cf!mrnly699NYGdUP>&fpO$$eWP4c7SbfO4G zBRXppGlPbp_>rJ%^C4+^K}}oKzF4UOR|?U*1T3YLBG3@$G0=OyAv9&hza|*Y(kkX( zhH2snRa<&-m@>yaPlzk2k-`nXc4dkxAip&ECO9sdM5>!|I(~XU=S5EzP7{##S%ElB z`bnKx0DNO(Wf3D6H(6Em<2}nDcdjl+(T@HjP(qoD9e9E;n_>EIv)X?uDrOXYVm2Ke zv&KS*J-|$c)4IUVFp*~f5|Z?#sCr=Y;0%%y!Y#Fnc!D*AG)2tVCY;3S6N|wBVQUVa z9mGMRFD~rbwS(%w3RoG1SM_#8Xv{;SAQhRoXi-tw8hl8C2J#jsjLAnToTo%TUd|1Z z=dvXO2z6p{{tUsQ(?f?4gVjqY{iI1i-T9i#5ycZbS-ch5P!Ni!g3d+6w3xbt{?n*2 z?O9hYWge2{-F?IKjlBDv9s8(U#_Q&Fxk|Ux94VBGOGi!~ZPM65?1=A>43TD`BE&JT z&dMH`sCjUon#|h-*~i+`7d`;SJj{IB6}#27MF8fh-fDVXs0TeVZjx03wS!NSi73MFyQ=I+Za@I)VKe2oudo!e9H z0K_?B0KgrF;z?gqM7}v}gnwaN%N@_MPMg-MKW6!%-Aq5R_hZ&>w);7k7`V;8jnJ8g z9;Rz*>{b8&xX%qpkas(q_k7^M%vd7pR+^NuXz61tf*Ca2u!=&+)4i&ZTd`2e zz?DoKi~zgyAJ1N&zx zc%*x}c9;*6F7#j++*2cIK)S{D*#-R8FF-|vX%3ogz{e$eErxw_)N#=iTB&utj;fE9wR`F zQApk}5fb!0(NOOL;;>HQyuEF`*wT2LAO$iz-QHK%#Ih1M5|$q%b9NLr0`f4eQHG29 zl@x7{YYQ~i^1P#vLuXt62H&aKUQ#E`W_1~R-*MTPR6L8jM-6R&tOe$s{aCUAPv#Y8 z@Hc~_I1~ibcvRB~W{Qwh7%W{<|6v0e_~eXJDQiHh)H_$Qr;+7Y3+U(wQ6vpuh?|_n zwHnP{{hbz8Y}uO3D}>;hSb&WE0H?nZK6}F-2YcB#(lWvS^BWtLJMY4zxO5uF;Ity8 z8IWNeBvXS}WKv$;-U-^!_19Zxs^m~y?O~rjJEpS3Q8SQ9i!$k%zD&)WfmQb2<*ntv$2I%yS9o&l8kMpDF#XwgjLmvz$OQkFOtDG$vEt_9wf}?JobtxLu5B7 zX#{XfbOEcRZCR?VjF54e+VmmO+1#n9eL2`r?|8W>@Xypn6?-B?V9cw{+l97_d`%!)S;*4CP*A+#MHnVzUx6oeKgQ33Yu zxrGUk0NHu-8+wYz6~vfMbKhVEMQU?F#0glM>ll=NBKlD>Z1T7SYu1k_Lg&w_qfOkz zz#%o2^i`X&S&=9MOv#5OR=Y|jjQ%W_u*T{zjx(g0PRCc7EF)>2x_zm&y?>;q>vXisCRt=KS!v|^v$2=M!2%S|RQU()o}yFXYg3x+y+H!LDR*(+X?Yinbk_(!pzp7!?fCOqKy z&9RQ5X+<9hGEEM};b4SSyx~c0H)s;UC`0{}_+DLY?H&DnUHF0M)ppn}fcl-PpCK5Q z{(hd-xzyU#O>9q&vY@VvYKbJVM_FNIczWb^e1~}KI!ygXo=2s-gE^!`msEBk8N*Iw zy4T27^zPGj&V6DHQ&rV#Q#E$+SaO9ZBbH3e^e|`;zVOf>AXNbm<-yoSEru)A!ba!z z8Iq7f<0*(Emh5}2=PAp%8?%I{7G$rnZgv)I2Q)B(F-$oF&Xc*#I8W?@>H{(qjCtuHUk8aF!CV2ni@&fq z1TubdaXe@mMuVHSCEkk}ObdYtTf>rs3ZU?uNV%s64w-{Pd3VvOrx(I!HFgjS0_-Pw zP)!?;2q8p4sLaLU1$RI$9U1*Ix4=}7#d%PkQbJ6GKbdVb6~9%Mb61^{vR0b<&_)|d zTl+O1?4)L8+2bBWX^gtGS-lcdhD~3S4>XBGalK`ZV8Ql`Byc*qn}k!r?B{`i@> zeX4aQEzMYB+a8;l?IA-bn#-97v*Uy%q_=WO7Fpts37(>~5=06d1a@ziu}Bs_NMt7& zcE$u?8NFT*&IM+R{fLlD|j>7%fJvT3r+WbUwu5%EkR~|K_=}9%CtSa^p%QJr02u4 z`)2O2>`Kil2$UvO3>c!86gCtAjf(5Q3gsr$YDFr^P`T((fE7Bt&gz<@4zfx~HbQTj zA@G-e3yv(YDGGAgv}P9yfC*^oP!%0J8*f_M$PLsz#FSvC?(~a7c)Fp%_ zl0N^Wdd-TbN_ChHy<@xfF1JnYGgPN(m1E|r%yH8u*}IEP!q1b|JBS2?)CCNLoJ<5S zZ)~EHWw7=s!(bR%EHpgl%=IoQtuY@+Q}MvG%2Z)jus^rnDvN|#J;sLx5{@MgSP#lJ zp9P_+SQPnTaF}LVq9q+G?iTyI0dD6{d-&U-P#zK1$ti`F$BQfR>Poyk>~!p|JlO*w z06ZW!Gn3le38+g}l+&9fs~2k!Op`Hc=#4*wmzm%*rqBElRclN``4XuDbdr_D#(C1R zZG)0PbW>-4ljmq_%-Lr;+(216I)lIqBFi73T z#@dENPW{Z{wv9hZr>9QJ0ncIHZBXy^e3IMQ95oQg`OOo$Pf~WP(sGS6R&`;NuksO( zX?vq!$6TCaa$r2%j<|0yz%avEz8Pu}iIhteTF`bSGD@gB7LRlOU0G5N_5y=)&~zZ* z<>jYZ6#BTcVgZm*-O^kzv&LC{<^dl?ae1}yD6BnU8|CfqxfZH>b#~NL_lo%;{+iA; zQzxX}n-zbKlW9bU#jjzDHg~TJ!c7PYs`^Vv6?70BGU-_0JPU(MGoEU40Bfw0b?$>% z1#3n$tBny~vrxLV%{C4HT3j+(Dk9DNx>2?g{M0dVA!A#Rw^?&gchqngmokk#it8(j zkku!JB*u84r2#Gk8}=-qMmkDTmP<3i4f{epd1HxW?{I=^oukDtR9g>30tD0J?PF8G zjNz}&Tf1gr`_60-xXbM3P;m#J3#}P3joGTKNO|@%`HX&Oe8ocY#89*&{)E`=i{sqE zY;Ff!C#T)=oS3>92}mwEggp1mRXPnvfG5DkjMU*oO*pAethm_eeh$veqvYW#vf(AwZL!v5VPD zGbU}R(Z`L>X`m&#!9pSmeX*y5QkT7*ecgTh!8!zFa$A2}2Ovo8y`9+cNbu_c7`Lqt zODH#umhK$ql3~t3g?W{@D_PR=_8YP0B>pz?W*VG1)32nn^IH#Di0J}Skh0d>{*G?a zFZ(gu_Vspj^6s5&)a~l+?eFU7?(6FB=B{7`evmTb_PsHKIXlb?!3#nPa<#2cJ$Id(r>R5E6J(5Yo7TYz)~p*0pzYvA zniT+1pT}m7nhY8@KuPgYHg6D%^?4bcJ&O6L?F0?^WV2=2Pf#X&WELvG^9;ihCTMZ$ zqmu?KA&VL}v-NnGdx|~=J3!~2p04ip{{HUH_P)Lj(yDseI&e;OwNVkOv!@;ZM1LPs zysN*v-CPuO7~)=9c_YrGtcgs4KBi06Cb2=0^=4C;wX9=Yxt-WI<``kPJCsMbriyma zpCCsf1*4yKa!K4-II$Z_%D_8Mq!u5HD#7c(_nGj) z3{z1p1#n>OK(qGUZv&DVpeL5}v<(!Qs+zqf}(wa%`-o{rA; z?%uY(cD#1IT{xOLvD}03-n+C5c11On;h`tYO~QOF05(c7nKjnF=fOIx@I8@4Ey!9y zc{!RTeM+RyDt`Yno=Y7y0k<(uPYp1@A#PRTU9cU2N4AeQo1Cb0YCU2OP>gr#h>&RM zli*N?)Wy`ZKG?vsuK8gWfM{Wj2i!U&iDmsMd9Q7K-cO_RVJz#AzVahldwOR=SLv=u zRMFc>PhLM}(>fS_RaA)HDTjnD&S3th;@MYlz+p-}JV(;6< z>NtTcBA7T#yWH?AFTx}yhB&O5W9vNPIW17r(jKhaaxFY0IyJEJ#BhRo>;M&HRdACd z5!at@$)6HE8v>JHr!su~wY_^sn5+Bd_V2%= zIw!kqewL;upgSV1fL<9IR@%|o7P}@7LlLH@+q)W{vR~B{7Y&$Db*&mGTwf9XyhU-& zC(-{~l08e6$RMPfV-CF{v0n~Os^&29IE|fVWRKYL$p;z2i!!VKEaxnZUV1xdXOd)m z*FF!pXU4OlKJ;Clp0(zj)91mIGg}US&uPign#{A6^u8KeNNZZhUU!k0o-4Ivy#^ zj{*D;A4i;Ze%cMpkr$yY zP|$+{kCn18EO{~;|5%+rxwR%wY^RYHx$tEyiGC1tI#PDH1KAXwx3!_XErVM3;$2#D zL6b9cDob34;@Nh*D>?L_do*)~xVj7|nuV0dhbY4-=&ICJ6QK>HksxhEYYj z(K1g0n=)Bsy6Hba`?x(Zy!$K1zkbiah8GpBjlthKZ`gB%kY$_|#{G zfc{`DgQ*ukP3RrwSxf@v0EYRYw%a8w`Ze$y zyr;3OmadNeuKpgy-wEC?j;@%DiZXi&!0dE8qnUcSp*o0JkVDBOO6SE9n#dwJ*rI?U zr}HvSXSr$(YQZ3PkPj`&$HWCmi*^(SXM#i@&8e#4*~xI3XraVl5Pv8QGo#eP_+|#E z5-~P0HEwEG=48tWFGn2YO^+Lu!%>xgb9WK*m+5_X<+PkmvZwBk>A-^ZjNKx|iQhYD z6Pf?Y#l~`vz}m8}zsCZUGtXCe8(;y{eIyBlPcqvOw8N_0$vOl<6rXkDP`{Rlj~~6? zG9nc+IjmN$xu!DGv}{$u()Y=%I1*z3hoR@lV^XjyUyuU{5Iqe#w8p*)6}o3R`t024 zFL~L+n_`lL$0ejiars$im(q)6j?xzx=tQ*kQ~8X79bCcrXaYjxF)046CB=LZE4oVD z`_ge!g3SD*A_K!?LnKOfiKuJh#S~NyBWSC|=h#hG@wgJYBo1S-haSISarJgTtE2Ze9$nD+8C(U(ZS5+*1PVcBQO!(TgbM2b27`PoXZ zUO48-Ffo!^t|ZmvWi4CYER!sNb|RX3t=_N?F71P@*_b}(NOW=GWK0?sdBj|!?)!r%0vN_#(p zAH*Nm2c?>$mEXbJlk_ylTqh(ZiaIjnhB}ApPbYddQJFzT0d)}X7}xR?agO=h7|gPj z`w~wXoEfX&{=&Z>0d&%uPpQixp&Q5%Be?TeYO}oJOh}KCza=wGmGN-T+QNK*K_e{- z0e57a(YFLw!0q#HMsqCEf0V?EPR3lHBc2={h-M0yk_xDaM(L?eh!PllqZm*XugZoA zf=aPigSE=CCbz*!$~E8yXLTU@Oa7b2*Gi8b!ziEZXp)i$n{WE!yPBtf7jT@HDly(=u#`EC>%kl8MKfrt#>ZT@Mn$T&7SW zHgB28Rfr;($3aMhiC}?HlD>OXvqDf_i_DzKuyZ4Nq~?r@3=EGSP&xCizElcIf(NXu ziMc@^OkC&uOo;15r7`nBO^|i)k~TgSS3O}3*`LXpW0An2l%sk)PGUFt%nT3TULBmn zzfQMhXML?&COt-luF>uH+dw5p{4j_Cr+1&(Svf84dd8K*kW3}3YN8%?5ug*2O+)z6 z%I2Cs;h#!OUL>Vkh{LCLV4O$k5+Zav?gVC171|>lNgFyw_ zm_M@#59;e}CnZwukcDH!hFSWy72x#PbMr!EWW#&=~)%4mOZ3x z2=oJDNqC$KJhBKU#fQjK(L@W}QGehiazC)H!*}G9Ow_1Xx52YV8V&_5=3c;u$L(>W zkQ|4UM(yd5S#sNr&$JJ4RVo-(D^Zaj?(d+>y}yBn6%G0$8@ zk$sgLiO@N2(1@F8;_eXUl^G8FYr2p2#BGnG6}6zdJU02=Dj2d<-tpT=T#gFAN44u$ z`tdG&gYv;~IbJ+k?&4Q60f599f2*mqj*G^w5|*7k&aURS=C~8!XDCk|&@?in33$J3 zk4J))wl(!y*;bt0Qi@^ZN)H*>CkQFDSf9dH-Ij3f;Q?xADug&>I-v5z8wTlBwK7Hs z>bA_JMAjAzAe>{ZF1?~NWTeCLBvr)ZNMcVE8#`e6Vzj6F`T9w$4UIH&KVdrgoN`g6 zpe6po6k#S>Q!Ql$NhIV7WG!ELtb}?8O>aYhb>1?~_5|bwZNnyIRA)6A!#WINywV|sC4;zjHY7Houtn)ORLin^1sLhdInE)vhk~fF&Vju)iaLT! zS}!zn988t_4U`Iql}tXIrGr`8JyCJf^v5*jR*W1wSufa%EUim^;v}aloTePtgMjKH zS!4Qk%hnL7HvXCOyk%SHH}R>A_0X8IMnG`R!A@e-(x*7e>4BiB$mC~+XeIgP!V(Zs zynD?NgyL%8a>h)7rivw%c($zyR~eK1pM4`!XdT9C;-ZOKorw>XxRx@AoOZ$`;7M|s zLRg#Ov&qOfs74Fqg|Dpr&4APOpi3Z=I(3MAv!^fb3KeJ1v^owDRz5Qu}~_AzBlazrYZWe(DBQhZm;j8$jHfgeT-1!IbJHpBx`Hj2fSBZ?7$}R`wUkDyof0vBv?Q$4eCQ!as$|i$X3g%7`bzrx{+JCL*Lde zV!GoEr$Y#4%PKKkC`jab4aFPV0d&tmAsvX?+r(A#Mih-N56^8Z47_I(Dp zB9)4H9G9VuVJ@K9S34E)96vNfkqC(d^QnMxqT|;ofEd18^@fBcM%*%Q?=l8P#~I^f z=emFn60emF-$grTXj|P#MTz@SKM>TGcKLeD0`j~VZeZcM`NC$X`FUoKOpDFPOCc1 zdU|-XC?a9b@|OsmvKq7NRhBjG0~-o0&t#RIIMugvmGs?gmPiQ zFcp}0ad=nY{HiC8m`x5aZiG$0J65p$l&Cj$o#@Q9jWv^i!JAd|s58X5oV6-BqL+gR z5J>@pK(M(iLrKnI)w6h#3?7AGCRvBOan2C*$%sh%vTzN4sVNYpT|-Z4Qjm@7HN^6d zC}Bd*qlVf*KIX(NI#`GjZ6P^tdK5vqjFvuxgg7B_wYA}ekyy#FgjH;_Htcg{bWEfn z!w7CzQ;ZKvuy9g}^foj#HB%)xAx}rs6AVw*`UIZ^)r4?>WhJfgB-8d~r&o}FM$wAB zJu`@OB~nM8RiZm&8`D8mmpU93{q|sl_a#+zCAuAgG5H|q~HdoxVYFtgGa6KB+*MD zqz;lWjLk=rDoHpoogUHKPH^qim=bvcMxrvW(WJ@lY{VDPXprK96_PK(NM~UhO zJk(7lnY0~sYH@94OU0A@SDD~_kITUDMl~hVR(QXz_;v}pULBWH6uDNlc?1tsr>3Sb z7D&P#N?g0rlnuwe-_X{R`#4Ec{R(H#5Ay z3M`{ma3H4YA*Y@eIxDlW(Ou)(NV3fKSo>}YbCB7U>k+)Nr{GMof=MUy&u$cxq+u}E zSIlFSK|2B@Rm_1Mk5Wew)KfDXnT7jvFxaTpf&`a1{HiB8zHbPn#BFh2eWcRTg;};G zxk_CyG$+-CiTAil2XRRzT*bQ>A!ClnuAtZD9o(T84GZ}TXNM>NCSIJOlYWcgS!L5^ znrlH$l*c4{gk7FbfobodSFGLrIz8559)D6_EIpVvW&|N~%26t#3;D$S!p53a>QOnB z5Tqh9X?h=dubI>Bl@_LUMf#P5lz1_3C_(1v65$lYhaaCmab$5mE`J$EqL>4Q*D7%m zlqTL%`IHS6owVzao6}JOJO#OielgdmRmH-iv~^+~QO~Hy0R`F_;jld((CA$=-!io^ zfAqvWIoFvtHi77{Xgvs%%yjoN?#0f+%m|95569S&1VJ3Sr^U zB5ARsf^v)Td{fAqCKh$jMa@*sPUO2?4hd>O_&KYe@&wrl_ianQ<^6jLQ1BSGy~?zpxe~&)hx74CDj`im+r|J!{`VNjio@;DShy` z@!I05WF06rv@^Oz$&jX_htWk*Es+h$j8t+usz?Ro2&!Kbli+c19t&f*p))hXipe6+ z-1D3wjTRG-c4Wh!a6ui(ye0#MOb*a#SRfL8r4tp3F$)x)rwT7yWw#qN@}@@az^6TkrsSWW^rEMQCCaTu4Tqol}2 z3Ds)Pqn)V5Q>x+pW8{|3j*X0YC}hPXfP5RbpE*TM>=yo02&( z)Zhtdk1hey<`7F`2!I^=C)UkXhibXro;d0h$`bs1T*7hmTWq!Hy)kCTVRM!jSe|Suk>3)2X|Qi~0YlbW6Eft_2;9t)F-6k| zt4W??*+7m=qLkG*F^v&n85MIh&xq+HKLV%5YD3C;KgVb%xo*j>N0noJtr!)cfCcej zB+FZ3g?Sj=Gf1Y@VOcq@Ii0?bl~fcbXIT?|Xwip&R|K@y_|^%^78zp`mEDyiYiq|V z1XeRB7KoH)(4!SGs*Hsos?kZ~d=J3sfzbX`g0!K9%Yonvt z7EL_JjN7EC%o1-bvH;aHlbvSC!#?!^-*s50MWTF zxf0{c+nEr{uBVN)_qcshy+r@iq>UNd2|c3z@ZwnJg9Rj6Q)Fx$Q>CdPKD(oFM$SJ` z>JYV#_yZYq#Wvr>UHvLMo$m9EVsS}4bFveyjRuw7*te02k+yFAwX+N&y@1E44r5aH zm^gFLF%Srh$+_-*5^3h{rQ<}c*@@O$c~$JM^Z1FkHZ1o?*Jqk>!0kk$V(uX%pJLAF zb~jaSY)N$O7!KFqhP!rYLdhJZ=`@2D;yAdjCyh|>P4rJ#cM=2jr}b8nm?&GjwW(hQ zlQ6KyiA6#F(Hn17@F*)*)cOm7id%%HgJc9*{ef7+t5rL3&doccDHQ z?6Nwre?MwCo^%&%w9iMBi=Z}C8cBQIb}X3PPW z=T9r?_An*}qu*_c71dmHrM+sN(xk+U4Bw_DCmrOZJ0(u~gnA$PP`i93Liju@R*+=K z`?#bLx~J#;YKB;DZwNxs_yeSDZ%E}gFoxWIAiKmg z@Ovx%os||MM*UVtD5J3;KWPM8(GTtdEh?SRNk}3$0;tCCj%y)htce_|#W$XbL#$T; z!&zslXsg4MQ)tpEa$-yqcVWmnfPpi|_~;nf0J}{iIUH1(Vjju*UI;)na^_b z0!9}IwxzM1cAJXl(>5-FgUv1&@(e+(b5Cav)*P6FGboRI`UwzL><=8Y6j2OM^U`f##DS{RELE+Ys~lr4BL8T>SFTC!1c zq!HU(UrnCi-sT|ZB(B_CtM#+#UNI~(t8wL2vkW3reSl;}10%r_9dvpI1lKAxE>#GC z>;O61EtORrpRt`XX{ex`HJ-YMo+(X{a8yD!qqICj#s}TNPVYkoNXuKQ>U_H?_Sj@a z?}>8YDVDPyQr5!}@@hzBS5Al`9d;)hd+!u@4pTn0XN%pdJscbW-Hym`^hEqvFdJY9L;gg|)n?(NGP?XppaYY`q|&hJi%i?Cw^UT0 z0Mut_p~b9>%u%J3WhSwpy`Q-9&i?iYcC~)|XpCQZiS(}?GQkvkxf-g4@8UK?^mSx6a-P3Log zNGe~LdNauXN~YDMm8T9P5W;tuc)BGIA0;FvsxZnQkF-uRUsmVvBDxs*E`WTK9kipL z%T8^gmrb0h>4E(d13X}2sN#IXTUsDmO*Mo} z*xm49Meeoh3sQ5o@JVAT>WsZsG<4_A@SccB@*K5*R%4KTiKHoZk5KSf65SO_tuzoB zFiGc78ptwrNLYv~9JeV>Ui| zAKWiN1+>5dMII{h!-%ZQLXv9yb7g> zkZVcjuF=4u@sYt$MyD?E&-%;lB$CF1nI!l%>LaC+MPdHo;e)E~DNwX_OS=OU2A`z4 zgSF>5%5#L!q%YJ!tu@nzK3(!}Ty*y0s$C|lhGk`C(f|>KZxW*LEIGVbYKp#V)~Fgk%B|cxpEqN zc$yTwFj`gp-Vhoj#0DiJX8{{9HNr|KFh3akHPCCzpkAS zeA+QniIDH3xnwn$jNw@##8uuOKP%NCDSG9(vb-`PjN+xwm z6348N^dC&C((mD0!``8rZqiq9VDL&Ac%1y|SCB;>HcUAx+QNyg0q&Q)4ZY@J+Px+Mf_a5#_FbPE;hTX7?{9__5OP9Cka zE-%h+&9`oXl4^dW*5&1stG1uCC8Oz4D1ls=X~pUqrXrO>2Be^C7GwdrOi~#g8<}-L zE****OWb2JIsADaW0TiFSlE`S*swK@V~89iDs4&X;i_S|`vRd6O z1XV6}ZSwT;#tG9=wl+M@$4GoILdQXg$uUf*+~gmLuO^O*G1)k`3{BI)d3O-MfSrxu-$|-tPQ9NB zfWCQYbS{f}AK~PUqwKd#q6|1gCm!9bS|MVl$V`Qc%*fIg-;T*)m{!b9+{%^Mp3ej2 z48KS25)q3vHh5v$F`WinEkih3&xe#S)fQx0c}B|qF~h3}y(K0X`OqGBH{Kj|KS;I2 ztg!=6s6+r@n=3(xadsRJtU>^x)C*NFT*A$iYUG+={7zqhh?0{t2KRxDlDs~+l0g<= zG7Fjr%1Nfe_k9(PV}84tp{>Odqte41kcnLoV?_O?-js`pv?c@o&s>rMC)nwLl$5z3 zQI0Zg6ZrGyriX>5kZH}E$o+(ibYoKo3E5;>Xos#cLz0MqY!$uB`F{jW#tzg(kwHr3 zmmqa+ZVFbWU}I_tntodBLns4oX3F0vVVcUVG3DbB-f(W4JT4GS6}3$jqRx)PayX;` z(8_fnxD29fSXg}36!uj6JnabQ>K*}IDF6*?AOeZ=BV#*>ze=H>0YF$DnYvg^CADTP z3R*-1pr(>!KsFdQjTVjE?#U@s?96p$XHdx>_*4b2FCktCF?Q`uu!);0$bHqdlUuqD zUPVWxIQ$#dNTka>XyI;G(F;6CR>KaX*)VLmPI-H52dud`y zVza2YjZdz!6J#iy=9S1Vi}Dt~HI0<1RKqWjk&M3%5A4T*DbN*{C)}K80ffAB1`|!l zA#ql@+Dk6l0Lfxz))Dx-VaO>vhBoAN(>;MMJ~U?7|CD*@cGxcSW%F=JvItMX@HW`e z-q+RD)7#ZWbq)&Yc6U=gx0}wBG`C(;Nkq~~f+&4*Q{lvz``lltzUJKVhW?cGB| z^hGMexkN+U-^Q{O5xfMuk2LZUgzFIb6eJifM$jXWLUJBqm=lAe`zyT}MO~J+=^=!8 zi?GCxskN8(oh=aw5rp;I8nN%de9mT?p7`(<+l`f0he)WAPuy#Uf}|uQV}cq*1npZfp&4;UG|8 z39ovB(aiS2p84vQ%v3@Pmd#8v4~v5S z@wKBXBtW`^pM=#Ph1M-ELy$ebVf=Q~ylzC?!-r*OWcUZ8=_^Rx#NN|_wwbLY7$dj_ zr>CX`yc`gi?dj2C&-x{G57rfG{GrTe0!yUH>C2S-czAZqd4=zDU4YtQ&$v=n;L1=@ z>#2Mm1Ycv4HAp)AIQ6O}#X890A_xH0;IP~d6#-~!%oO^Sk$RHB7&Q0|rd7sCD6duY z7zSgk8LSaXyp#CD1~m-t6Q!)NGAsNl=e+a9voU{4tN|Y65{Z(y?^*V0*rMq$U`aqS z2?qtu<4m;hlFZfW>gM8!l{C_UC>?;6s)t9gWf>(vMyYM8%^DzDL=vUeC(}?NKT4;x zIYxj9k~$fg3ftB)8iiq7jEJwK z+GG^T45Uo}vSe4jrAiNR1pr5d-O9pW#*vil!QgW2ASDPJR?YhN2VbQ&drLn}|F5?eEBwA#6ehex##bJn8GUfw;JopyShBk-OhBbJ8(9)O}-vJY@G z92Q$aPY+{<8m2}vg_SX$HBD7XO

qsO;Fw4nuA78HC0<7*|IW*EC6dVJY-hN33JZ zt!=N8=YS8&lyMu?`eyDM-$=Yf7IiU!1JyP&_$J+C8f8T4OK|sxvCPqHV33Rq4KiO_ z-HvvSiSA)Da|doeAhVlnHZdrY1!+=Rb$vzY3(zCeCW3Bhe306|gANEhI|w?&fb*Vi z%~0_SIzQ~0X$m7sjB1sEdqi>QIHcPN4r)Uhv*&{M3)G5G8{0Y|k7g}P6@*|aQ)$39 zRFF36>2(v|LQ$o*zOLSmo=#OD10s_EKSKE0d$5!p*#x|B;g}6VLV^O;uLh>3jYSlM zFC&m47zat4Z&|Q3ADP{gAu=*KHF?OxFEmF7W=)H5{avXHgLA4unI4ah-IpF5938W= zDIQUy@~s}mB?pv~L8B)JmGdvdBy+cseX_ynroG43PAu(RUs^p%JnP=6jWyLm+uS?l z4NA$}yS{=O+$tNB+>JAPl{zCEO>pJCCy@E~u;_KrwD;uZ#$J-Z_a0qX*oz0D+SAp# zzR+qo!L8JC+UvfDy})iCKe@QH_vo%&t@ptD?RT+JA(=n!o%a4XKmM^F*60g=oEM1w zuy=9k$jPI*-pC!;*X@;aD|AroGSUU}7gCd^H8^zgCu}J4O^k~-{MQF=@A@XiD08F7 zlYi{HA(;Qzzdb_n8`6*$DUgZeraF|%3#(hlJN6vI%)Yp?rX32_OQvgDu(+Y7RC7gM z@ay2Kx^CAlDeo&s*;j7|1**x0$M3TUS85{9Ncb|k?;@RNe^=J@28N`dD?^a&&E_a< zU0J;~@H5U;fzwg`2)DN*Q3G~(N9ASkW@Ot;%e%$DCT*Sd?NcX_R zwpt-e`dpt~xhDJaRzZMq-iwFprZzSCYfG7Lu`kthbyDf~(kxiPkGG&7SY}(=ZePA` zrwE&0nb$b`4h0BwH%|mgf1ruR5mQtDEuUHpZ_6Idq@%5Eobi2}F!owB+XT_AeWw-w zIF>bz89QuL>?BJl-OKbYWuE4btho6yxsqS${wu4?YfZ$p9;+TXL*+PHLHpxBE1!_P zSWuyy^#l_kZTWy6$76YPE%dC}M*T;+6fG>XY;|j?wu-dK{(gOa#aI3D1c}s^t^GDW zWQ8DbaX>$#=jaSGMnM!r8!Ro{&9Rqtm1CR(O{w@Z4ow${&-JX#tJO+@9bL&g z+-(%d_T)7Rm!m?ZKOjSzF5L7f7hWPx6Mv=F&10C?7h7X%_M%n^R_V>ms+}HgkWOvg z$QwWP#5yNr{yEA~_6@34&IzGSu^e0z?vI`h<*!mVrgiZQv9K#zE+Mc+q(YB@<_iVP z!fb4%dcTA3qk*#l-L=b6nHg$+!Bk{==_)@CEQOg2kyEdPna%2_5Vjt{m`4LWheuXc zp&`04_!dWj1~*J24l{lQcM&jwnU_vEIPVFpxoOH=^C9MRpfLBWuvFsXu!G!^yU_+#){=g~x9gYFc6>#AY{AH*Ep5FdExGQ{H`I)jnw*SU zw@^J-ug)v@;@2m``sHX!_N*)XzED1{4!2yMUm(#|Z(+0|P?F?l*(K~(c$7xn4fIOs z?R6Api#-@lXu?SN>n}sEgLNWS#oeH{xJZ*#lO7>Fb9!s*Of`;|U%Ixgeq36;XJrG7 zC6H6>Bj90*1m_HySzJN@7WYjL7l5_m$a%ApTR5;hX|MIkk#TF?%XX4=%nJnaqjMi= zYHBJqoyXSyZU2`?_)c@vrG>fXOM9B%SeSdgHDyj+QJ8JMLZ@c^l+JIz=aKQ3&4sDv z<`adP=G6`MHr`Yy%rq5r$$OGZI=8jGzIkzlEoaVqa%jI~TSxx*f?{*U@2Ur#_fO%v z9TyZIk+heZw&{}c-4AJMDmE30O`YZHMNLi3#X_mL^ZfF?>=!@X+*NM9n4KHC%eUz7 z{l%W*g}vpkU#bf)>MLFHMeQ}0|Cv9f(3OP<;OOTgy+sLWj?~Qs-}c zWcmJUX}|1oKtLDv+wwU;hlStv9W zA2VFKa8Wy#jT8&}i-plrasGaOUK%S`ujk5g_YL}4bLq;;AonU=|69CzvF*0fg}2_T z6UE}~rHeb>K-*Q1DqV8p7&oBNwpgAkZM*ET)(r;^mM;F-4&Lz6L**aZCA;?O!Z)xjeq~#c zuW8;^+HwC7m)vl;wBs=suyg$#r5!K0SvyZG?RdqaPTg6$ruqnWTAozeefYh4m14_X zrE5R^8E(_Ey}0}G^5@&wy*vzP@yaKczoCXx)zU>TeGEUmB$+-x(XOADf1W?ZYtAdb zO^2oHTKe?7r<4aeI4rizm!DueJ1;69wVfL;E^S*_*VtBc><{+QBdiqGv=7nEP4-*fd1M@mA#@-pLWGcx4%!z-KA?f-p-jDj+gqs{4?y_ ze4=#Szy7VBf6Hq5`MRul>ssl`-+h?&9=Be8gEc+=X{G)r9^lc%fsNA5^AG6czRl9j zPk%nQc>GrR@9nCAljTc#IMrMnyr*=_FK8?VPnE9v!!OfT9?_ZN@I%T=wzL1r(p7KT zsgL98;_qH>Mu6btZ z^3xa6^7Dmf70Tb{vf?#AT_`>3{$rec^v@K^|E{Jh;^THbyHI}Q&9sa^r%<}^xAfl4 z#of;>lpmn6x%~KB^x%u1S15mpz4T{S|7@ZBUA2te&%HOl&fcS+Unp&ReMP_8cdOmz zx);#$r9aXgZn&bf?M-&CJujs3IBTN0xc9%YyL^>)+g`-(vp=sN4?Rvlxa`HePVf7; z@5L`+??bO;Z}&@i)*FxV9T$aeFVESpdK>ZiHMW#OmI#mB_4yYlA?qHzD1TLr z=~V3&uYVQSe*2}?y7SeA(lxKyB=VBSeXBAciH_*oEv^R=dOKyp;S3or8nq~UoMm%HbCQx9`c4lssFR@wx{&`3fF$@ z!@Bm3?A`Now3hz1@M|od=3?)gc!etu(m5?}<{{U9g3ETih09vBclEDwo6?$ouxI12 z-L37dyy+)cEyWvutx&#_r!|*)r$5f|JGQ@#d;Rbe&cuPt}( zW9OxX_=~p}E`2-?cz5BQh4Rnq)HUxals~`@-Tv-E>5_i?^&eTo`wH(Vlpg(?4{+6$ z@8$Ieb=B4HV-{WULp|dr8j7zd{6?YtES+jDztpbyQ1kl><#+4cCE=;%kLpC}*SAm2 z^6ZanzrMNn@8#>7i_bdmhSHN>@}K(c_VG9V3cr~6K%xA3U0nX2-Rs8f(BPje-ng^; zXG5Hh#J~JK9l_0QJ(sRA(thnzw(mG{t!DZSEv$(-?X~yq zc+8Fu7P!mKk1#_HhzxPC>!XEo`+m;-$@Y)&+gHI#Xx;sBe)30Oq4~PsrFGAf*t_8o z#g5&jOD_Kx_OIVn9_2^8j`6#1mOrCrqlV4J(w@>oZ~t?ef8w)9 zfA5>;qe`DE6bpaA(fh@~%dMpgIwYDr^ba9mdhkO&&$&aF+e3KF`Cs7hva){oM}_9{ z4|U14Un~^MUn-O@5b=KGm$~3=7pmv@stQfb#fN{TP~NA#@(0IsT;5xL{cT+P>B3hF z<@0Y>(;pPRRwzC?lC9T_>*8;-Ej|#ZfB9h(9Dcv~j|=57_L_^&DgQ~KbkU~}TZ)(e zDSHpKmn?nvyayl$#dn|oXLQ(aod4&A;`LuIl<#HO)J+$(l^*rd3g<8Ti$d|@Z}9DZ z$@@;cjF!>^>^-Zjy>HU~twQagZgoKMTDVv#a|VQmwbb7f6pB{y!P9L@?B4~ zo1-c4|F5-Z`%4h2Qq$i<)Jjdq{#e^b!puue|MN*|f7x@i|8K9+_T$^s^3HE)|Cc_i z?R$4=`#NS{sj2Jtwg08x)AoOVU)$$?K-*VC=1Wa)Y|-|GliI%IpVhwS<=UQyY*T9b zD)YP4bk($4zP?-AcWi0<@*CAY{4wqCvhAZTR?Bl+wf*hO)PClj+Wy<4)pFiXXxo2- zTJHQ?ZD0N$+8%hJwvT(WwqIdjOHDs}zqS|8XnU!(Oq|sI1NPi6*c*P%`sC$TtNke# zYWv0KY5OdD^LyvD|G`c@@pUiJ{&(!k_kTzGZ|v6g=ZFzltK!!Ol# z1AU>?^j7F7*B{XKW!Cb=M{ED3pVD^MuWS1P>#Mtt==%GZilwH1zDWC@KTrGL{4;Iu zI;HLRuGIDe*J}Ihg0A^kMf-mN9isQ_twy`l-uo`KeDV(M|Js1I@3!7}(_Za=v!v~- z?TOFp(EjmX*7cA68Ev2RtJ=Qy5^bNrN-s6N(#CP~QQCjE&4JHt=Hu}F~qxS4yQ2P&H=%uC~ z+B|vRz1m-}xBbEQw0{Imi#dF|_CNnQZSS%>eC-dl|H(FOdu-gFd`MS*^**(nZ|mq- zllK35zqarCX>ITNC2jxHdbr*C=Ra%)zT$Oi|F_p_`%5r|QqxoI-P+FA{%gOX_M7Yu z8^5dlmQl5Dt!Te!eRYYA`=7l)E$!C+kXLDc=@Hs~uc+l4;Lzi~wEdv<91S2mOH_?Y%zXkWU+=H!=Nsg_UM-G1wv+CTrf+Kzoo+hLoTJ8UMr z?eS`P*;lmPX76_TJU#JwpH<6G+T8miBo)S@M=h_ie!I^4_N_*>j?Sw6qGP(|J9g#A zY}DRt@Bj5n)&5VP&^1qQ*Z%T8?Vr3t+wH%v?cQZ=U-uK*-ejxbTSoCdb6hQ7wV63G ztlxh9;c6MaOWTegYWq`L+78+5`h=~QCs|LHe?{$2`8#dD_Y`fvzo0w(;xB8zapl+ei)Hpx>5k=6v0#(9+v_1pL?%be(Eo^eZbc5p1X8q>tCqlka4Ip zC$xXCP3=E8s{Mccw6?dcYkQT=`Cqm1UFQQ?Q-`#jL252F{j|}^zqGmf{NGahGi^4$ z^9ee;WozLpHWOYvud`pWReaNb*ZwQ)yUN!7jmN3w5#8GU%LCfJ{C{bC=lR+`s!iL~ zaozJ3@6i714{E#D_|D?P)c(#7sO9PQMcvltANj6Y?!H*t|9G>upR`eETGsV97>)Wz z8?QgNS-SN?T{B|O{YRUbFZ&;Ad0$!AfA(taAHxX1`xu?y+^d$^%hhtJ&4KUSq?S)K ztL2%t>fd1NaoFbkmu=O&zX6f^vrTt$tIpL>Abcd#E)bbRxE4b&s zX#X?z7617=+CQ?O?W=5!{4X29;b*Gl?k{S4;Cb5q{rj|iuhEtlRJH$CSLkjZwm!Lk zSS{_{YFRNJ{q7g59`$|o(e;wr!-Rz|BqL*ebR4h zcHDKZMrmo6Xwvfaq9rHZq4~agwJ7W9uc|N4d`8mWGv0iX{bv{M{~CW@Qh3WB^5><6 zSN=MGUR`+Tm-+LC!pHuEKW{Ibr~Z3S;iJ?1?1P2#G?u?zc-i0a=XVO9(j5L!;V1u| zKc6h@{wjYyTX@Lp`18fW4{qWIUn;yyQ{!8Ozt#-=19FMA3{U*Gnn8~O7W+pbdQzqH}~+n&lk_XlcO&c z-`UEaFBeb$pU&O_tg7vc9zAQHvp1k%Vu2#kNQVfDN{6C?3KA+HpkSeh?Nu?r?(XjH z?!0z)w_ZE1UiCl5;T(SI|K9h$_s-`x#+-An+H1`@*V+eUb4ZueR*gY_sjWJI#%o4? z0j<=$WS(22dA<>}L6g4@v{94BN#3mKhIP}fX4N&ES6k19I=$>o6j%DiRRs2LJqS2J zc#-l%Z|q*mK;g6o+91&uUZD&YFA#*v5K$4knUXKIn7~*dp4NsoRNTWdDZ@l8yj>YC zJn(&iGD7@`n^H!K1SA1vl;|-LG+L}cXewhw`^C`4idr_%#)wGiIV)^dKszVG|Auy6Oq&4h zg6M$8l#AlAE3`}Ej1_vgEK+bs@)c2P5w2Yo$|PvlL^8r#xi0+asW(I;qz>h#_`rI% zL|PM+ye+P~LAxVHDY*8$u;gFblJZ+&aSGSIh%OPJJgF6LbEu?Y@*OQ{jT59#OfM6) z*OWPucDQpT?QrKw+TqTZI9&OVWWmrrCQXilc2aWUap|nos|2)*(rS3Wa!KNX%a^r1 zC|4wUfqb>F+nZ8ZoFbH4l6LU7B@SM`FC{QVJd|wdu#cpsT>i(BXF8gGBIPkoo@?vL ze@Vs4LVKz02;bxnL=sS57xwq2u)nv3{k<#f@0;{-FWSsgH~pe>P|YwNqKw@+T_yG* zh_r4zLfzdM<}vDZV_}$}R^Y$#6!mpZ@ltgH_twp7-;>Zzs>^Rer`Oc?+CjUn9>Fo+ zRxe@tyrVA79C%MXvbcbXe`QN2s#KB{erH#uSPyN8i_RC}$FfH;1y_BYct{LbJOFZF zB___;=wXl(Hq8Ylb{qvvI^hRpc|1L`cU;}v!1g=q7ZI(H7Vd+OK0 zy46{!Y5N?ORhnrGy49M$xc{%wgmU{^t2vByme*;9dqdlx>EsPec0 zcs^QQ(4^$UcvEwQM}ylMS8f*1HHIEAzR`TvmD*vF9 zzmP9tEtJ=Cf(x{7^4;5@L5f#bP`=WI{#&3_!2)Qfr+6p2X^DI+kz6uL0+y0ENu}r1 zfn3Fn?c2031B?9*?y(Nrc|LHw%ms8`j^rnMbVTZueL8Vc16J~E6IeL|a?rWez-WIY zayfnsCo7?p88C6>8DOi@JQ=10XW(k=Z7uHmp`24+LfI>Nl&A)-7iU7D?d z-2I^+@Q**g0s95o0f&n3JSdMA2Uu#7I5Qo_8N$^IG+WGJl36GcEI^CIg*4DIaRA#D zjv9Nr=%!%2l1Im$q>N(XoT@Bm0M1a7et>2xTMvQeDVyO>@tC@XoWy06@eg!V`|D}$aY9iu>hDYo-K zuaqQ)@mporInW0sItKJv8Gv0>{;F(G1btVYp9bX_xH7~C8{~6t3Jm(Php`5A%YY^r zWc&e|Y!J5+G|k||P0&n(rENiT43;tK<{Ok~2yKx;?_;19274Kis|}Vh*>5!H!eH2L z&>;u3%i!{5&;f(DoUFqJZd{UM2EDdHJ7I8=Zg$$hnQMQ}U~eFd7Y!D*1YI#$XA8P+ zaDm&%ErWS#+&@+Sa%#JS%+HeM68?1Z@dS|ef-u%(vz**4W z_-Pr6{9~{&4%!cc*;$F4fmHsg(-&TeWA@VEYlk_ z*YLpu&;mn+uCdZ^(g@HRLyy*=^@ds1K${I0hl92muELo}-f0-t2HJkZHP+A$8Q$W& z95p<_1LAQ*r{T~}8E)oQbJlQX6KEF<>+Ayprx87*d!HAYj6 zLFv@9W?67O!cSH8>Z5eMkj8A&KMoz zS>wFX7B+p!Xj%-kt44!3bvKMm*Me>v{XwU_XB5bt{h?7B9p#D9Y9@u}MoUkD-WV;Q zOT9O`+6qNJ8I9-h`-_n=x0r86SSgh}pxCz(ph3k-d;kqAHoFn%TyY0z) zCK|hOKBpRQUI3bDe3ZM&T;nLl$|B~d? z**G%>^pEk_ji5Y}dB3+hXL8yJG|A*Vjnhmt$)LF=efdqz z0+aPV(3Y4SX$o3lGK^VjwTXrUTxZgVfw$4*wmE2vNejB^b`$&Qpj{@DID>ml{P`W& z0h2#CgNIG7Fd-c?$*c-GZ4y9_JZIv~!Cx}j$EL5EJmWTW)1)VN%R44+f8*MHlMrWU zk4#=BK>N$&6TgvtYf?&pKAUu*D}FT@W)JO$$t(P7hCHxDh50ZJDba!HVrU6pj&nqb zc1*^jOSEy}Lf~Ho8~SY}{#cZ{QuPKROtD>f7OKNXw5J3owMB{0CD^Q$$bK9|gB&=B z()flNa?I>Vluz6{8s%FwfNv=&8;3z|-3*SRWG#6Dx&7KLg{{j~9U;kNc^DDSx{N=Ctn+W}NSx!jLIym(Y-Qi}uq%dqjRTXs>8X zZJ+o;585wUFbWQcYi#qNh-PEQ#e-xX`^C)i7+t=azw&~k-qMB8#;LDD(@x4Zv6%Vr zfC#Fn8)(@cOd#bseC;&pTKK7sOG)G%#eFGuWX0nwC(g5k2heL8a)9DJVH>cjTT&t_>{fcpYf!#26|kwSrvUxIW|x*O*Z% zF1CYQDJ~JR*?6Wl^Qv5w$}XJgD!cy%TEud(t2(>@TJEC%SG&I$vXu)S$z2hh$J*+P3)2KFT(7$$NpjI!ttW&HV6U@E_bT+W8~Q+_WaxPtFYV8t%XGnMk0 zL(IN$Oy;S~ZIu^XhFs+~$7ivCNw4ZGxTI`(ox4S~!E{oq1KzM$M_?Uf8yk9?Z4$Gx zU2~4X!9EaJy}BLHX$1E|=l$%^}Po3CFZdUUPO$H3a5j9~vyT)8^QjNiI#IFfn_S2rM+$B)1O zCt%P3K9>ZyOMpG(3bTJ`M+QNd+7K9iobwxD#xX}OXAAXHzXBUHWUZ*cTaX)u!OP@E zfh~ZI4LRCqLmS93Q7OPCvCL#m70z?B%e7#MZObelXFM0!ysHiD@io{^!U^`C7|9b$ z(&sL)wCKvUZ}~SptQB51rjnD}&?izJGYzFW@$Om&)d8jzXKGB}gD8*W-G0X;1~2V}B4hKs@~d$`fnpCj-S-c05Ro z%7$^UaOAWM5l-!(<%>ba&`_SFIj&fh{ei010#)wZqpKva9&C)Gl_pMKd@|$@W zSgY|?VC|)gf&QJWaJ5cEFtG08bXe*&rK1HH?gIwqa(RPxw*>~T*aZw(o`{m6YEE<5 zOQcIVykdLE5eM00Ta<3)>KnMAPUV)t>g+!N=+d9};FjhO zyXOF|O^t)RLtg`=Ou6RW@Q4$ZRR$Pg-2j%xt_-~y)Tns)>@{Tc8mG=-rkTnSR7e{3qwSerJ#IKE(|nW>_b|{ zvsqcj))>)~31qC;8V_xvXs?1cNxbR?ZL*kt12hF+r-5dR`)p;t7>o&%mxyycK}&@{ zTUjP-UxSv5JTuS=(Pa}q8xTb`L5_!8uVC9 zio>-hVibe@g-D>AzZCtrCa=UU_Wnj}iGub)SY3hkQScXvi8tsS6&QirFYLDCc6-wY{`cQjLK*cCKO3gffFY{{D$e~uKJ z0plX+9G82sG>fP1B~m|T{iV{6JkT;}3A5XBsXpUng>H|P4AwALGRQ)1Vf+Fems$HDI$bgvgo!~R2N0t3Hr#9=l_#@bjmRX2cc@zMZl z)lg22Wo1O1_L{VOHUuM!!}`{I8C%ZnU+VOVJ?Xs-D>VW(I;V}q0qlRSILtggPt+a{ zS|AE0>MB$*dklkR*j`|@Nl$=Q>v+nrZpsO;ahMCVwczdBnQ|!A&FO?rb(mK@?R4JG zFzRxWBknq|GO(K=N6@_klYfu#ZopoBynqA5MQ*8iq6wp8pjfdEG)UxfGZ-d z=9oeoA;!BxnnDeq&ICHC=ET!=-r$~23gQiLv9QE3 zbAS#fCjs3rbND`)Onvn#W&;~cLhjH`w$+_f2-XQJfHv+17@2KuTgY}nkwq$6i$!dl`+72VKaac6K?{OMwI}z`49%o?ym;+yiyuCNi1W8&lQ*6 zL0cv^uLi9bh6pF^C@i&4C)MUKgIejIQFIRr@?dE{7kG&DgpXYL(&(np3Zzr7KtrYV z+{K1T5zI=%rQ`QNBcvmwk&-Dh&nW3u7HG8Ok^mYbjpFK!l^m#zlZLag@zOuraEPBH zjU5HLAZd^S{_`27@Ru`X``{hA1uz}M^kX%h>skFOQc7VkcHIr3SRaJ4R`;36v|IaA zBvk*~7l8pKIdOY6Dhxqe#QiB|TM3D7gh7O+qM{O3!x9)iGY$5L_Q(WEi0zA zQyP@34LPbcOE&C)IZ_&R?*VK)2v1x}bjvM}V?LlOrO7O|&~)Nm$jy!)0LJbt3ye#O z2R84)))H#_K~DOUS6i+?Kq$#I`T$dR*x+i~JA{#vK6)T9Lzx0>{OMfSm&1#!B{rjlj-5Cjh$)!Ne&!=I~#oYdPe8C3jC1 z^ws?^vFFeiu=G-6hfsP4A>S)~!r;?N-?eZa<&PzN-0OFSk9+-1u(9C>kyF3nLY^4U z{s)R)ys1HAv^%udqTzhd8&N=dD@G!hX{WsE8*bAMV_=pY>)_Vq>IE%zD`=m84Z6eh z)3oMM9wfRmT?`gJV?jfN&jnDv=zyugvGWbv94atsW`~8CS!9PCRu~~JbL2C`hlwEF z6YT$ko5_>ai{V}PG4M&Ou)J11r;~2H)lXt-WGDMSbb81UESem(d!|me*~R&Q=S0|Dh`B$$j2(x`v=Awm9Ah(v~xQ|tmdJAvAD-1xrS~s+6spVn#A;!}?rb}yA>g*QfSS`7d1oI$qeJ~1*5UshpjuFp~L7O1%z6DJd zH3Lv&gV<^T+9-mtNJVyh25Q(0zHV^x%Hh!5Ey80(`gT*1Q{LeW=Ma=Zl( zCGE7ojn`iL+jY59dZR?QglJ%QQ!ikT(;tDouG#{7S6Tz?V{QuU+lUL%zuN-f0CA2_ z4tc_G3ABM?=MYf7u(k)`*95pv4i#>%p$!xF`9M2DBq7DfBSk*43w{#1Jp?pblySwi zaUz=jJ6`lk0!DJ>yEE4NZ zKwB<`d4N`ldkANo^uKbt*NV?vnN7k2*%V)oB`}h9h(S3p?i794*dY-#9CSoTXFz`n zmrT%E(H%31GkO^=@-1=c9_Y3RO9kB*Gx~$x3zMCo58^(*-T5ps!lC^ws-;5vB3`PY z{Uhpog9b|Jyf#R(loG zbg2qxhIElMQ~F5bEa?Mjj#QC@m@8Ev&6B*J!#H2^A}x^2oIneuN~A?n6B-vwF{GtZ z*FpR`(<{Q$H^nnhYC?eGPym$s8ON~=eLHc6{U zTckupwY*h|W-HsI*WAjtOHN$W9ny0=3=}%(E-t-!(aGWeYo^sUQZbJYBW6zQ$-Mha zG+4PKl7n0&qZKR`t!o3TZjxcKto9vPZPG!Ym5DRZx=tL>CW%iHwg0ZM)WQ zd2q7vw}fh2jtR`pDFYV!&n`d*_c}nwX18Fk-uyGr>1112oP9YC7n5?ZxbC69y1nP4 z`a~f!lqZQNMxe>!0vn$q8Z%g?irt*hX~NMB+H~>P9B4CyeFbPU#kY0PW{LU@pv@K& zOF^3>vI3#a71wyc-Xs2)rW>=18GJ@@Zw#kYJjx*3YVXA9nFhsN-tExo6~59jo%-4X zlbQzMTH5fJkkc>z32ZZlu`@&D`~!L;>M=vS$Nxakt(l9V9lIFr#x9oQo)k}Gq)Wvs zRs;0zuR=Djk!udwr!1ybsktWwvhR3a^=pf1RBCPI@M?!z0sSuz1vXs=2i6YNJeS{) zSk~zbwVHUSvzn&yiPl_u+N`Yi7P(w2S}R8spllUyK6P50=N4qS!IHJxHiK++xDsUR zKn93y(j#E?9iBj^A76mZtF?jtmpIumcWaRfx%f}z>u#vwacN)_z)Vlj-v{HeFgT2 zEfK)THP~RZ_g-$UGp<#ZnPRKh)dgA)zY4S|W&w0v#D}q3$7l&0z8x6xoZ%b2rzNo2 zSng|SPkbPE%C86P+`|EuF3LyU9J*!qh1IK+{y%lm>(->f8>?~lr5@E1+urP+4{UHR{l{RsEM<=_atp> z0=Y#WhH}fcwvbz`NdV%nfx(iJ&+i#h?RhV)v%dn; z;vx5mXAl}*QUS$B6ut)Pk3=G0|kElh)3J+^xh$&Wh}IOv0^ExKuBjn z!^QYAIu8r1{09uLL`N)`c1kLxd5V+QINL9$mBlZDPH}U>*&Upa4)$0Z9f5`@zC(8E) z4HL=ss4+s^W2{XODlY6K(Y-dV%@7$QK`VsBRb3@6jfb{gTv`L#C@P!7xLFKfz3rm7 zC5-!o4fZK{v3fS|Zm9j-zD4U)2sXxyH@j7hbXM=663C>( zrIcN|S@QdXC($=zKRjGpuj(`|Ti4BPkWKf9r|G(K&ejMux&BHdCT+3G+7wzv`@n8d zlt?QbVThU~&^as~(ygkdABAjbN8hTJ7zMPcgoH2Kwb%i)kHc*gw%3nmsjA%=`c|DY zV6?r?MC`D~8nUw^gTP}PgS19X28ZwdV4&YaY$0;335$WX8=_rpTTbJ>AUMw$4s;Lx5S zj$v_LuC0&V%V^h$i#mFpJozpe|Yxl)8Yirv(M?!Gu zR~IE5Yx0(=&t`->e_(qq;q(mGa_=F#U2z0@9OHy}*7^bT3TOrNp4wQ~R;9@LC~f&@ z7_3$%3|N~}$Rg}V@xI#>*L-ZcL9H3e%;sCRGUPxHxP=^ey)@%uV+EG z4zI4eUbSHo+Owr=D%JU)ZzX5^O&S!zh@;QKA(bZSa2ci9sawG2mMwsZtDJyIhlnly zB({vtMEzF2|DZlzVbNWsq&Tu3Wrz+fW1S_5d!VbZ?2BR_Rd*v8QYo zH#pE8VlaE#Ddw=dU82vQpxxq6Z2JgIAM6LpUeSmR?Gwo`YCWN1yf1|ReHZxqPyNdl ze8lxOgX>|&+C|QToV{TUuyZFrV9wAcz^mzJ_XQQhDHNhxia{YS1bai z8Kj|=%wDe`chr6t+DZFWXtws7&@P8C|8mY6hIP+*@D=U&$`)ggRr(vNB%3{&4KyEN z2DCWIr#nk;c)NmA3S?VvJ6-?y!yw$iMvER85rSq9tIZal2Z82@>C6~&McK=!xmuLr z;bo1uSV33Uv?=GK%C<4uX)>FnH~V0dQ!YxQFdFT+T-qSI6mRogC|9Ez8c}>oBQ}+q zCu#Rxi8)gIzMTZtdc}39?Q0E{Eagp*KHOHtQUr;R|5JrfE-v7XLBW}%st4#S&xAsO_u=i-gaQv666sj z{0Q$oq7CCBGLJJ>-^?CzgG^eYBG8(4a5eK6L8!GO3>eUY*)22}Co=6d^&$F*5`=lB zu9Qz_hN@8Xb5ZsC2C~Rk%X>0|I@LY_bbiWx-=)`5T?usoPh%w( zPKC8pNj@BwUWOTz%iubGO=A*Im!{wALoPS?Z(#Wr-oT2r_(W34k>fRMdLC$g*bP|O zmKnRshoeA?k)A-ygATxIksE$<@y)u;%d~WDZoaj zkyqu$#pXbcUV)gFo6al?OS28tfUyghL*g>HXEcxHZV|s2{v{_&-3?4^aUYm8H%zyl z&Qcz97uWVc&t7~;_BxKWmA&_H8?Nzz7N3LgFu7(u#EIm;&ee&Bv{$p++u-{6qvsuj#gCXljIbesAZD8q~%gOF4j_7(aEyM7r;9CY(vv*tq%ZVjnu`bS?hED>ApLT+%FwW6jZ zLvCD^0odd&Mj*#tFb2l2Dh6y(n%hK5m*J4p%5xFg9$N}*r+x+OXiy#4X~bM$=iBTh zCz~Vhc7h?+)5rjId!0H2x%X`Y;2&B1I(vZ7w1F~64C@2R7q1l1F!7%KjTFOq${i#8 zID_MbIZr5)L@S2lR1w0JoFN(&2hA2;IOKU^{CQ{#MJMiDOT;QxSS|wD^(xV54vcF> z&STI9;m=UoEKIp*Tf~TG(6)=~(?C1K8m`h#amEMQF5$!w*ejxIsG#DXZ(CCs{1#BH z6~X7bI)*PH*WI@hSnr|(EXhtQA*UQ40nE5n2iV4jnILo9bzoMGwXUsmVh}^)ycozS zydeI11iGU=apG41icahkBn@wRnxy?f=X9wFJ#>bo{XyqUN&AD&Bhu<Ly39iNDP`@9x4>@Y$Xkeoi z&wA((S!hoF)3S8~{!x?f;3KLpxT}NQ|Y%HDJ)2tP+_qSWXzFoTm`~9;4 zI6$mns0KDW}rmsul9XGOT!hlPD zLzLr#$1O3OiSLfMO1HfyIvxi-5aHE8kHlwdTzew!b9;Oy!n~mUCEjuaeks0j;$Mp& z49U0RXnSbyMFBUZk0M4u`z)rd2YnUuIM?6AYkJ@hQI<(DPujH?*9J+(3qeDq7&bOk zGG+`8m(n*v8!63?291{9;9(j+KTc-`881E0fpL;lk0*jDQW;LVD7(DZMf1lw{BqbVkY#0-cjwDuFIaA%39C(kxfdRcSSgT$fU(fNn{9ITLrJcdUL- za--8el++`kJ(lvCLwhQX;bG^w6wdW~A=OoZUPC{KOhIcO06;1Fntx(o+cpdQ&7G)$evIUAuqtOkuz zZ{@9wQSaf#G)~(p_qzCk^K5w}V0 z%;ngkUdR-(Om9Od^cA#(SBv;S?jW1(tkfzpL&=5`BSx|vyas$vX%`WaEBQ>E+tYb9&hJeOt zhVsTHYTEF7vnd+CCD3MTjCp35r}5#!&DWSQ;udLoKZ3SI)7ufWToXSSv_iAA6==1_ z?LBCXrWK=qy~do$9RHb_^SN1bk$GvWrbP|Vc8zyM7%>eDzG^hmHtEtIEeL(Y( z&UZ+&A_8DV3xn&tCMpheQRB(mysUXz z7IamU$SJ?Bc~BB`Q}ZDibX()Wg}bX6f#*;8f#yAvz#~l}@8O9?j0Qc^nBN2arCGye ze5u*O4E9=M&)|Bid724&uTgRH`KbBM1^=uunFacy2{{G&N7IG9f7k5hL=KS4W})Oj z`KUIn;s4D;E0BFA;My>G&NR>n`ECGclpMsZc#Is+Of*jJ+6*)SzqF2PljLv5K~v;U zT-#}K6?4!Gc}H*1EZH&~G)Jz&%rH+j_yk%YFXU1!mP3s|OXZ>PXx~CA=_-&3benr4(Rak3DD7snYg;$yCOi!|Z$dz$;N}qOg_y<@$W+>2UWj4^cYFD637WX^X9&|ak z&P>woMvNyBY-^%k?2fT%mbK#7hVJdG;q>ed|DiOkJwWia-=uU|Mkmq zmv4~8^bqxx8$iQO47x^V%0O;>oiiETH4So1K4-YeX9iQ#AKav4r+$DXZa*_xbHh=P z<7YD$B-rte68j`UP6{mtxkbY!z?PwO)K+6Q1Cs*=0aL1R4qNB)G?7+{bKB0K1h7*V z&PMi@^T5uX=($~5YJfQnUI4q^vF~cpTy?7<=|F428MHYt9%$>sm9Pt50kl8&80gR<6X;l*F*Qye z0IapRJ+O9zxbx^*}fzy!dzS;$>_j)BTpm7UeU@Mjk?#4I>31J+B9eDyd{MlDv z)Q#$}G!*QyQP50SVr)!+O^Pu!H+{o-Y(Aw42rfNgFwPC6Wyso8%{`nK-=|!EzjXFpSBeGJ zn>!E~Fn2aE@B&8})SmYc9L!k=+02;@9mM+#3+3@4Jmvu8hy*iWWG-vfU%>Tiu#mnJ z6%z(*7=+xS9jjv-dYbFJ?oi$SnFMt2&aJ|uQZ&%hp7T-jHJ?y?KOKan)?JpYTW21y z-V8fn;N=itXm9#U*a!9(KH)VmA`5-UkyDIeuWwQwa)UTqU{tF!z=npAz(%i_9~xhz zH%6Cbyu=iWk|F=H>t^JnTTBTJsmRg|* zIztkwa;m*`icDIem)2fEr3(1fj$@ZfcgMe6!0M|?;m&&o{9;zk#egkg&-~540mc5U z9mJukG+II>?MG8cs>|SiEB_RBtk?hbiHD^O=Cf#dbR}@0Rh5=1syYY!S_ONEs*F@p z<*i#o{Z;G$|Jtv^f7nC+!@f{!FQK}FTjNJRX5`L)ZNC@oQgKe|FQ5LfbNs*0^9b7E zCj$Zsix>K-N;MmHuFLQ9zd~!Tq#CO$ukU0n?Ef~;`*r1i@AuSylz;mlc4Mh%Y4u%d z+2KFzHUGmN1N*;?Gwna@s-ib*C;kQG$J{y3E$`$5z<7J z9Fl-HwMA;*e5);A_;$SHW`RLbp~0Pt&`ioBKcncGY zWT~?89<$%7^R+&lo}66m%@tks;+tRDHr~P1Gb^{qt{pKe;JqSgneCI?q-J#}x`;Z3 zvzFYfA>RLux27j+-#?M_+g&B|jthIm?RVmPsqiwSqGk&FXx+7|zDQ!Drn$cQH%EC# zVqta@%lJMJ;KsL^f-PWzNZHi}y@qxZZDk)?;Cc(%Uo32YkY}`$`$W$L3@Rqn^Sm2_x6%slw@4X$>@;E z3OcEChg_Abc5PfCy0*=RiiHJg9m6#zTkC|b8JT!XJ6@HMoSBYB^jcxnW=|!5cRR7bn(>h>DiyG{hnwFETFPwwd+GsESl6U_9D(0w&6o);y@KaI!E90#H z%ZFuu{#Zl7b5c=#UtN7YyZ>AmvOb^d%{~x*uAix^uV+hrK^^P&QN0-_Ce_CuKeF}X zAF8XbX9rzDoF=uO-}`R_D|V-%@vqg@FJj#dD=0%zUtfPVii3?+^!=ykI?^+~fcWL} zo2qJst3Q4k|6z87kDhG`Rh}@lAALW1ZV&7CpX$HX)z@=pT|JsvM?Zd@S=Ac?=TzTb z3Ks((Jsau(A6E;MV$k5gDt|N8o)VPhA%VgK)(pgS+H z&d(qA_48*Kl%MNc>gwrv?azfF>n<1Z#NXs#z%L=S^=u1_967+8Cm3sqXx~)P>sr)~itapa1CFxrht+ znysk*R$cwAy88NZ|5g7kF3|UMVPEw8uvg!|ZesNQsc%oej#E*W^QW(WrXCc0&bSq_ zdm$B}zP_IEy}>W_RROx9x{8@aH46oOJw3mJ`RD%i=i^LWef>D~&FJgtbv*NGV^Tl< z0Re?qdj3a!%fib=_#G1a*A*|a>+5?KSqcS~rlv?Pyi$nEbqz-UZ2YO7-i&AE zf35#TSHFUtzGNZm`_)_VpRLeSCNLuk{z>ixPgG|G(77_W|1a zzt7*e)`j(B@Gb7oAHAmM@c*cP?|5MeXXBr1|8K1N`ptFqe=UWWyi!==z;74y_4H|(t?E20)mtR($d`xf|PVf8XzEzmlj0nw-3zwp4q)KXU;i0wY&ZbYKo{RD4?qY1tbOfD_!M+KoKuc{|GX0CIkA8G64T& z2_TmO|FGYc0srMl{u;nHNd6YUcSv3VU?q~j2e1mss{yP*@(%#kB6%Hv^+?_TU?Y+@ z0oaV>EdYK*awveUNZtluJCb(**oov_0Cpq!Cjfhp{4;=Gkh~YbuSot4z&<4J2XFw% zzXLdk6nyB>xTIK9U~*c!=aj03IXx34o_aeg@z< zl3xILiR7?AfCUEd2SNoBG$cm{5RBv)0AeCJ7J%4DjsqYrlH&o0kK_aZ5+XSffW$~n z0w5`plL1JMA~_X+)JRSPAT5&90Z5PJ3;;4BITL`_kenGn79?i{kPXS%0pvh( zP5`-(oEyOFNPYuA9wg@lkPpcr0P-Wb0Dyu>eiOi3NG=4RFp`S^D2n7_0E#2I1b~uA zE(M@8lFI-ni{!TfltXfP02Pp25kMs*R|Zf8$?pKDisWhl-bHeC05ydjK7f+z~)0BzFeT1<73je1_z10J;jXkvtK=BqVEF{kcFbBzV0n9`4d;klOyb!=wNL~bBF_M=6Sc>Fj z0G1>9YXILM`C9`z$zrK2CxRnKLA*ZdB105AeIdTTpk%E+C$r0kTah6vbar-d7GrY(-B%7?m$6WeMrY%Ml@ck> zD#8y{uJc1d#X>N-Xmr-d zw&2ewkz}mFpU@)FS%YWKVd*DyI6nna<;b5ceA+4baa;z&WcLM=X7P2{4t%?Tp zu~5E_(C(Q8{1?i>9WoEv;q8kSK{P(H71@56K|x3h{4IXf^78T$ab@s2RtwC6FsmaJ z2a3ajjkW(Cu#>QZk{y9pvPY(`0j{g|aJ`f_yBYg2hoB03y2Y+l7di;LWOuBDh8Bv9}nl(oBJ*fBS-Xgi(Un#Tj0fXe(O0Nw zHK9nV$@?AOS&0T4b5Ei9H#dj6+-9G;#>X~NH{ul+-;N>FB6Xy_ODK4eri)TL7z|Zf z5!u%AqCBGV#XrL)SEO>+WO3xUi?*3>3GH5OgmOIQa};jIn3Q7#9o}=}o!2PE7?YI? zlrE@;p1U$`FIQt8u{PtJfqn5LWP8Kh~P#g%Qe1fs<{@Zs}wVLntNq52)?ySF>4ApY7M^*UiZ_C6@e@*468oJ3&5Nl#I4NX4FV2 zs26cwTTLA)hZV$Fh<%=@Bz&x(QDF2fTP0=YODFwH`qJbi!F#vcO$*E+53lRJQj|Hu ztgF+w{z2^XYpX9>_KT0+=}J765KXy7gjPu^nPP27D(gb zlV{>8KXH5;|2Ij6a2AHp_m60&-?KVn&L5*yNIwM&4XDq`M4@78u{lEaK?Hg1vyc-q z542`{I$260cUE5vI)&800xrQXgu@DwU%|7OHxo7F6XXSR~yF<-ueAisTk_ z^;d*cE+@~Wzm4T|r9y-TP`kD<#?hMMzk#-j=U&A~7yf`U4v5Xl{f2CtiGd~+y8|ay z`JmmO^t$STe?q%U8Mm=t;!NIk2OWNAff9(JPHMbEBkZ4ma;)}2i#e+@cb=4CTm*H2 za`N0}ubnV#`~On%p|g&){Y&2sJpXDeW0tS`0;h?j+{$x7=;bGe zuunc>D1O&`ag5~k0;9PMd+I{g0#u)%J5t}p~mJbumbJjamK99C)G$TK$vm3FCzOeo}cTOC&b=#?&;;kdu zd#I9W@(d3q#w#J)jrO=u!?p_+GpBgM)2TZYulcqV4g2o)Whj;hKHYWh zvn_r)OZi=PmP8EO=#Kw)ktn$%_6}m!jPx_1PR(RC|Ina}^0i7iZCk%;{STbhv`f-L zuJMa$Oav0g@nQO19Mql5Ok}!6CE6a%Dev6r-azpRY)R>JJchiwe((-$%(4LA-@5S znWU_u11I~9#=&8!wm0YcHXE{{H5h`B9LJlnx|G>pgse4)hsi2)`ls&jz8_CrEo)4T zg}6RN5xzmfq@+pdJ$!T!BTSUzCD}5XN+94mb2dXe=r^zzw#j(j(SH}5iZ98urYl&H zJJuhZKT7T8D4Ud*u}6z1IemZYZQXVMrlD64!St`&R$0@oa~$FYnuaNfq(uKjjZW1- z8Jx6C{S4}Kk$hZYR9aAx8^MDUTnWh@(4{vsQoPaU-^kuDzpC&9WN|ZN*jYbH0W}S! zjm+XhSl#e(6O~)I2VSlmedX=Tl7y+^eBRL4aT1GIQG)XvH|fn!raZQo96m=-Z_Sr7 zO-n~}+|^8Dht#*>f$rbvm4OJD?#P-p;0jWy1w-VZctlp5X>)COn1eTZu^?~SV$fZp zAqD2N`>Kz!A?CQ7qrD_%F9+5pLa7O@Vj-j}X?`z%g`{Wp-9L~rGh~*S7seK3tJ6`M zxY0ZG$^NSZQ*TV>eKBZ!Xu6K8jIA5?AcMcIAbne}qDwY~l{$s=dV5>%*CqUAu-Q`H zQ$Adm2iu|>F1Hy}R6z0Q(S^S;UU}#`rLd`*bmSZ{-?%`DuCGAl6XCU#)?TCL`6-R{ z!?bhFF|8P~&a9?d&BsbpY`0c{&DD^=n6aZk@zAy0ds;+ew>4l-d`05xjmEoywl~G% zh*F-Gx;DmJS9M|xicu>sT&7s@xV+Hi>Bx!Hw`1pA|JleqEosbe3(gkMt-+a)UVb6K znx)oRW|+sZRXOY(;n;z<#&J)>>MbeCi{kfO?7gYqrPQ_GQGYGZr(IiFUl|rqce(3| zen2q7>s}!;s6#1Es2j-mT=cv%W@pIogKpc$v|sd=ov+Df>+W@O)keh+>fb5RB8jSM zR_7tVj=hg5Otw5>Z`+*L!jfmW`yE|m14BPKwd=4@fq(I`J0cde9|B^I=Gs>}rpn_2c(^bgR?10$EOnsGci<-BPkZwE5^wk3m9+P$eQ8haf^jb6g+y{} zn4D(5m^WT8y&GcU<6pY#v2ujdJ?vTg>tQL0-!(#2bEaE%vR{tBacnTXhQ%3# zuUnh;cqp-X=aq;%&O>WdE+?M~hJM9w-s?UD6QI-b zO$E2P-iFw++4tF-Myt0}=W4mTil*+n3Jh+zd>m`e=YCLg*Va(nf`zmmYdiT~z&oty zx->G2mWb%w+i`R&FMGNj>y`Qx)^iEFPgEYQ zDg|wWMh}MEAa-06kuRHG{n8|q?3IhZF7(7mPvc_nSx|WPN?x(IkG0nV zF76@iasF79MKR$XiEofkcW8Q20&A-khR65t4v5A{0@a2JBZ;}hs@$X39KOmidxeCN zRvI-yk&1h=)9#0s3|W~LjI&hx$}Ma?c#r-FOJLLm!zzM5(5| zGl?|_o?p-ZK8&kpC?{+EN$w887o+kwI4(&Ark-7OF$8<06si2V!5_Yi^%i$pzW;&M z@ro_#4T$%?j5zO`bbs#3;?8H+^Bt0W$unOcDm6Sgl+iG*cC0$ezn`AL{DUa5R+)xB z>zR>$pqsIJ>n~Q(iSBT=CiM|&G(5d$MmyeFTgsB7@;$G1O~m?Dn(lCIE;z9$#=Jx| zl0RpAW#HTzz4mu02zVe}Tu6TIDpxs&4}z0EPPXI9*JBS+3A?qbaKI=h8*oUBl; zPQ5mqRO-j~op}hfbnEZa-q#KA<~eB>!PF^Uk?hV~a1p_XRVhf7BEOR}PLJlznJqJT zJZ+#JkfqKwRm9SzUf?cRz98Wb?lbn=@iei>AACaW;!re_;)CW;@zKwgW4bLP`eFL& z{^2Z>K~{dP&aa)HblGKXOX(Am;_fP;&7T^=9rervMTuM9SLzuYR^&`MScpxt7q@4l$=n9;kFZfI1!O%l=TVBx-R?!)=(W73XgdP2Eai~#S4Yi~Aj#5!hh#Af)dS=s6rwlK5w;)}8ULb1-CwZ_ig^0+(x zDTlr=R{wm{?%nx4ydo;JqSsKyEcKz5xppr@lVqBssF)shmad7sx2XcEwIAAM=BmGJ zZn12Oj;owd6zY$Oq_>?&2=mvZgl-Jkqn7JF3)@iq)HP4{pCGq>oDVqH&8H=F7(%np6VBU|c_rECBFlA*VE zxodR4N+;fCXPPW|@@HSy<1^kliEAzu6)pn)r7EM-8X_(zky+^5sZ7vOF0pbB%3;nV zkC0}H9&Jw5BDLQp?&Xse(;?wvj}F1t=V;evh1ypz^K8^Ir-wyxYv?G+M;~NAtcan~lJ7p~1*6!7tmz$~j$|ae4AE+n1n<*juKjHGt5wBV*T0$F%;0+Pjgi zt{;LIFl9L}Lv1GzgUTL@oBn*cYgeGok+b^;`m*<=b71t40A7HCpyg7LiiBe6@f++L zJ$W6dxAIE4twtrrlLPfPImw1u>a_G1hk7#4)dQ_PV{~pjudt z)1XhE7??XM+2tvAEmTOts=hQ5&+k^}*m}M)3X$3my#wR@Itxl=qol0Nz_CvTE$cm? zJBFhwP;y$ycMF%4iJPwloiUo$^?B3kBG1M+>cR8%LtBpN9hocwjc`l;lQZs9B+ zcU*w_i(Ra~8(jHC&Q+&iPUTw=*)Vq@^c+2*ZM_nXqo#b=nsstN2l^4ju=sY9n% z@3@+2nqOZ$U!vSMFz(DTmE%v5b^83pEiFxFCQ-Y6N|Qgs&{f~T_(1BBKYON4HjZ1D z2APwuT!{7up4XcDje6z}-gdtkG5j_5uCnaXAO)M0S{&+oj1m{7G)H*HrD2S#%$v;T zj>ycp-oZHWSMd{xKAN*WPhR@={CP~=>N=%Y^6G>I>+${q$J5cd7|T80YDvE`&+$U= zHm_4*cGeWU{YW(`cy~!pU=KC^Hksa>lM?S5sV7lkO7@bf{4)YyD*@qTlkS@xkt3vK zIi(-sRG7AXu*7)z*x#e9ZY@IV9=}`b$^ZEF%e85XHPFsc^F zQ4ADRsi=dgskD-*1gU$iReI19WvJ`+Nz@cee0Z&>NG*tsjIa&pKx?i9uxDVf<+?$OWaBV+_fJSj<0#$67oAARt7?IINAN@ z<{J6~u(*)ZH9D$yXrpCY#kmwvJu&Nb(euA_y?WXlEm8(E92SR ze2VFp_`-AOs3<#}E)0!%$r3Nxz-!8qZS#fw?hyncR*R&f_N!W0NknlYu4IxwG79fC ze9ut-N+v9%zNoCjQev;qZpoc`=U$L;e;2N*Db2NV!U${)j*KpIh}&yVhJ(#L`tIe^ z_T|N5hCb>C97M!Y1Dx+JS-&2LoLi{ZScHv+OuC))3u#HwGe5~}V{$7C7_?Y*rFWBg zRHW{*(m^eDJ2P}uAWFXrzw@(TWSR>_4MFtXV@C|LC#EkBGCDUStEgFV6$DVkNXwWH z9fv#d`0sy?XgheY=!NUm=qe4^Zdn@=e3;V2RFd)T(OG?JHzrE#&QM9f zioCyZCe@Fa+wH+dy%}u0X8j4aWw@lN&UfciS1~YS8Z*~&D|T=QZeHBcy(aY4s_d9s zKyS>shC%6Z+pUm_W5W+N^>M;&Bfp*%RE>yr_j*2J2v$j6y8Zp9*yi_RpXmksjs^6u z8PVkVXPV?QgfVdz9nJL29O~1Y+zpYm*>pjrL<%?hQX+&IbG6;=dpn1C6sh@dsg-$L zFQ&=vo;T&HM*CtX)enK@Po$M4Y?L`z7rjB7q|M$$H=l>npEiOiA%dQHwBE!XI*-F6 zc_}dF$=~X3x%LdW*H;vMaqarfmPvrIN%3Mcr5Wc}>{-!d`|-~hZ|YUg-|r%i-k-86 zKWQ%1<83ZC;@;FbR3(Pg;StycwtYf-MCfbCGoB@ds+YBK>+W_!T2!AP0<(Kz#85^a)+ioF}wO9`O7jpI z;fQXVWzI1)z6i&MWXsBg9y2#^Mq5>Lqv^^&r*?AJebXf74c?!nufq{8(-U#4z1^C~;|fX6vcCWkm1$+Y96x5lf`+ z>UZDq&ZVV~8vLXyBAtem9n>a2`r?}+5T7y)@*+EQQ`*RQE2BF+a57da!{OmuTQjf$ zvFdWPp3jiy&BKS}u6=!-5V)#yE<|Y`zyB@Q;l$V}vW4R$nlZ-w`|DW!nskdtZ|M(w zD~1nIsAiP2jv2?-KY|&t-&Dx?u1k$&RY_4#3JqKz-#Bz|dJ-yDut)E;Qp}Wd>j8>c zhQzVbsuAXbe^+F*Dqwc;ymuMf9mCMA9aR0^1<@@*(Pq^EqU)p(_r7FA7 z`rzwZ#PD2vMtMiH!&vjcNbJlzK$`J$3(7IZ&__#gZH;fWZmlEBKA2ReCfaWywP8vY ztAqi8%?CgHT?vPl^Zha|d!7kA(B2U=-7!h%{>1mzc;s@lbOiQz`%nM+8~Z=|!Htee zd=Ll=n#+4}`F;l<4ekD5<N>Mf3WCl zXt+!oBl`;??l!)IB==@sy!3i#f>?^lweOE%AArQ zOYud&m3yQjj!vi|lE;oE9@R~=gZBV+N9qpee20ocR;kOgyt1`>#-+A8_l4JVr%D@a zN{VbsKQ!nOmK8LV6`!nW;SUk9oH3>2Sv;3x2$flrp)X^37IR-)Qvv@OBTZSUVOi{3 zOO5@V5bGupPaOv;(+GE;x-;#EHia!a(H1spzcKMKl&xSEK!;lZ51zsvvmuYGcI*F# z?2&11X==J*Wd%YV@x!`Befw^>=5?kaA*^Q>K~_ zd)RYX*l|_9M<&nLcLcIdRN*^0=F5`-;^o>nYaZU~{<`8lwCB{IQ}i zj`~9xdQDMOMR1neo{=x1SroFLU+L?LQ2!tO**Y7EK}19{t++;)u}1`=3d*slG1|Qz z8Ly*CZta$^Xaq8|ebz3ySD+ru&NJ@n=Zx|_5N`)&dK_3;VEDuYjBo&OBLX>aH-HJ@ zoCuy0!%|@C1H#~e69ITC1W)B)=^uSy@ASZqD}8~u?YV{x)S}#^wS@@7=;-;T-OaL{Dz$zF%E* ztG|s_Y{e{qxCIg%4%QuuS?NA)zb+u~3BMlHNJ6cIsP<32X#eGBwobs$W^@5_8b^s= zb8!3Z9+Oe)F^RRMQ`2TRM=7SLC)ts-PO^IYIe1KG-m@?AukRERUw_{%g-Pe!!OxND z+$BnBza)75bVr_=qc!(n}%Xt*2IMk^kp!x8)@q5%J}KiUs(j#%+Il(mRI+VjRE>~eiM8iR$( zNM<+9Hr~%;?I_IMXxv(%xFvFA{6-4bH*-mwSGt+PcBnMA@%na9T|7cZ^<{Ck5?V2w zIZbhAw$VOrWBO}3G0)Q3BuG$K#E=P$$q<0;%xKArL*&W$Z{~rWstZV`R@#}u_~X#o z`NNSH6vX(-t7B#=;ji@vDBfg=6LQVPm0W2DF+4&y_lUw)GsD1Degx(!tc<&{%b6b{ zaNrnGW!?vU$0aO2fznCDzKkPD7Ek7mUY@I%GajXf<3pP3rexs3i5Rso_@XM&e_<@eP8Dxd9B zJ$?X$2-GUKV$`5;hd)5tPXNb>_Jh4J^%EWWR9UZvHVhPI)=!$I;b`i0vtgJfN3+64 zVCnHpJYm}Jpw3gmB3Nax7dZfcy^{e$;14lgQe4Rx%kWbe&(YvH$mB||xc`tnGU+>N zhyy@Gpb7_5+D%9IAO37iT#53m20q^>Lsj+OPSrhIo(@*h$Zq!7Uz!Tnc-V4&){PsH z0!FOluF4(xALUMv1Om$~sNf)7DA{TlN%F-dY2|4Je@I$L4ppwkLzX3xVv*JL$PNVL|$g~Zq4XgZFv)J-nh%C_vx{g^#&0Ck|0(-}TjLCJ1+J>(iarNz4 zapH5l?v35en=T(QC04F+NUjsdi!D}nOKf6WXYLoeWbam6OZ*b;^KSLz^XmCxvQvg@ zvezKow94b)-K~(?^hDmfGU{W3*-G&6dv=ru0cqpWjaTb-%qv z>9bWn|I_Y|mze&qKhl=|hrO)-Lk9Yd&Te856H&X&UY&C!c>;AqSmk~{jVXgPuFnE# z&T*Tb(#w@c5nL%_KO^=P97!vATuqFwApxyo z=I7_HJh+tBw2F$n)?soK9eK*&vGV+WyyVW1U|*K#VOyvwU-RxbtwXcRVl$!C$-;PS zU(3-N;HkR(LB5aYJGJ6xpb~bR4p=P0*TG;0m?rSpM9A>>gAlohn+1N341N(JZm-k@ zi6Nu*uik8(qN+hCpzs~xxpM(aELkG-K&An9bO2?e_u1aJx3BJYxvY6 zVK)|-2$wYjn2ltxKRaUUX@=SY9d2DXhexQeM>ye82w#aTJxzaz!%-l`SsP=u**E4% z2qA6-N=5}3Wh{&-gb9y0;x^vT3PQ|;0M4#aiD4TUAg~}jMVym`b46JCj}zby z`+xlytVZ~)21ZQaVKSmL#0(22Bb<8|UKG4eum+sx!kq_(y#fbZRdD4>nDocbZgA0sM4vH2?W=?hLuw9vpmLlp24axhxDw?V;wZs_-jw~07<>+f2x<`}~P3@%9i;~mJ zXi^I-QcI*#i(Gvk->iMUQTp`g`Fs`jnFz>QUt|iUd-Wno``#BFd*{?}iA6St<4=0u z?$#-@-3nnmxJDWK*EBS6nmhy$4LKm!4C+)8J_IB9zSK!#TAM|0CW z262}9#qHl+IM_n4nVbMwiKA>V0h+xWqsKKKIz0L?pONc$EkLyx@T$NZ@XG$$1(D1B zCl?WT@aZZ%ND)>Nhn)u_!dM2*q4327jQ)u$*t@9z$}oEw*aw<{WCmu?epO;sFX`ex zFCyk2kx)>hub6v}`t^9Ty?%!?ilg9?gsUihjx;CTGR~7i-y+hoWjmR?rSdb)FT08! zcfX21)5F~)mN?P57lPmFXA`f#t)EK~-}Ib)&f&L{A$=D6Lv)?Q4gVCkF?5Q@3-sKD zMiSOe#1sK>198Fh10!1dNADMKt^c+I(ECdzY`!2jpxSSl%rwG^j!KSyH^r37%@jZ3 zBd&CPMTX}GX{j=feLDF-F!$>U*-aF%vrB-#U|UN2$XU2VAaDb1H=0W zxIyLNDyYHhgq>%F%dmGrfdiHSpY~%!z#Re4yow7Oxb&}{u=QE8IS5r(142#6E({4O zRk>lh#&|u=unnz99Vf7~hS_wDJ7k;e}xlS_C8#>>A-0ojO)fOo55mjK6=jF`{C^cwLX>KW<9T5^>u zK`bEA?%QQt8=}CfA;0|;?LHo88H`~Hs|DdB#C-`U2jn1@J&5k}CM*Z84WhF|n2Zgc z$qr8uT`;_RMA#30HKKd$fJZ9$0}1@fS~$SyAAKX>(q9?Y9}1(u?(>QV(-@Cd_E(Qm zQCa2g$#efqy7TX>OvL-2X<36X#y40~5`YANV8jTq17GaHwc&&3KS!7lxCjHG7yNRJ ze?}Gf#s5y6uk4Ea=^wp;^>qIiVE#oFIw0pfJs=3-`I%Uv8r9FPU1E}7Mt+BUAomt| zBsC!vdOd*&W8vY!?1Q~5b``P2GamZbI0eChofUak0WtEdxNq{-1ug^Ynvi(#LPZSu z>qq#%J{1Ju+vP{_ywFqxKfBp4kNecMzf;s}#XLZKavmk#qfO9cJ4(1|9xdmnP1x_^ zAq@dVWeD9arzx#Crx~RsYBCF;E5rN= zo6q5)SDw~khM5YSr((Tk5aC7U{Q+6QG>z{Lu=pACWeS zba3%HMJqL#G7{qA%EB6qxXa-Yj{%Q(xZ4qN3_tfz7$9;Vz|;Yo!&3`*Y6VXbrULK4 zEe8I56)k_;|KIB^`i@$B5EBT!5?FVzI!_0kyQ6#yjkU;R`1S9&)tS-vkg`w^EeobE z+%;e(c#YR!DO^9q*ortu2hRnPD-HUQ8CNpQ52`ppn!r4`)>Fx`*er}zP%iQwG0Xe- z!;hLmRcTbW)!NvLzBRdQHsdlHHS7-5XxRI>{rX1v(AQipL~iZB5 zd)g3c(zxk8OtrNj6<^2`5U|vjG)9?%m5hirHdqN@5m*Y3K==m_$ax)NuNrnOyuU!~ zcfzlNuXMnOIpLrBKf(+7S0A>{N*ozeD#baCvqR?8Le|>cUV#g0%lE21%+z+9P>Y)l z1YUjA-{yOb?EHBL?n@53H214Ef46PM4hg4#?U+$h=$ip^0TDC2PO?Z`dyiT20~Eje zL<=yTMByrn!&Age3jXl~(qanme7K3g58>Ptp2F{RcpLs5``BUU;JG(p1S9ItsDi8p z(Hp?8K#Z*}@ay0oJRp9E7%cC=vf-D(V-dNcfma33g>T&;4EOIM^52dCIfH?#gq&W& z3;knf#9ZfJJHzAJ4Dh=rq!gW9r?n6xZ3rbP%=9~%nqh#7D&z^6{f#Uu8tDEBD$xF` zc)5ot_K%E+pJ8BoT9+PDip#zaEtE>T2})8l{Z6lj5!3^LP~)?kLoHAvfI}0nme}?5}O$ z13WCGFc6Do7T9I~#3vg}#DGthQE!+%f`u!h2oPu+b_zJIWS}4WWPlT_NhCiIH~Szb zf+>y4I+lrQzFJtYL_B)>ZM;jK9r64p{`vfHDGZNJ+At64n*65hjt&XzqBOHOaEksM znyp<$Cx_cn8v`btQyXQ zOa{Ye=U@jocZ8?T@DzUIfuF%R^0;cpfBPGDU)%!5s}X$&H75IftA#*XFDR+rRDe)z zDY)l0!~mWBx(sk%d~gG!{H_1rdlcauM3^zb>cfDC02ppwxVd3p{t#U-+<_PffB((K7)JC#fBi&{_~E~P>#QYilL5YP z;~<(!n3E*og|f`$@fcM>PAS*fuCXR>zcaFoeI_(!5&hI{%rf4WKx83;OYlDzeCbuQ zflApPOQCwx9?QXfiOoN!opS2lg)%;0AbbN;6A}CHSrx`G226Rlnh0+qCR?yPq{hLz_|h}h1U7n#?U~g72s2H-AHbdv$ zrc#{g)@87q>E5c4YUI|rb>TRCYjnzSkf(OaX;ku1a=V`eu`}7cU7UKc``H%QQU$gq z_ohEy?0tmoPFC@?oGhLGnngLOA^;kDrPm@ttv@ns9-=}JBm>034&>uNG;Rbl5i~T{ zPl=R8gDCqo*QqAGT*Th?b>6y#C|mn9$YKh$`=sDs$TdV3FKVK|Mc%{kS93oS*?Y%X}?jE{7eO~VEr5?BpsD~ zu+;)1trtB>)bu?_jUreX1B9mx9{qpiK1UY#w_ISK3j@^*q&WAk(;bue4Kp)yzJh!Q zk%D~nV`3g=_Q(=5Dj+ZH8jvtY`K^5a?AiBIbcBjl_|gtFDXs0M6(m};*Bm8UzfC~5 zB8mWbT#Rq`2zO$vmG__`9*r(PnuTs2y;!6jw*DeA$9-D*rn=_Czz4$;g>m%>Tg;7; ztm-Pafw$v#8*K?UN}H=|4+dI#&p@Ribg;huO3(k@-_*e7m+&F?X=@>US~X_Uj;R2O zT2WBXO-MQlyC7^Fj><$wg+=#wcu`>Bks5G-hY&D}fH^q813d}e6M)kZfY>wuXCS0Z z1au?d69RhR05|eK`U+qnau5ZBKtS9KJh8DCtwFob@SdGB)mU{;-_q?~yn*ts_x_$f6yO2xOb@~m`4cZOL4Mut*dMbJ{ zdOCU%dK!8PdIox8dTM%ddU|?NdRlr)dd4iGEUGNBEV?X`ESfBeEQT!NEb1)sEcz_c zEZQu}EJkA@V=7}ZV>)9JV;W-$V+LblW9qwW6tBAsU(4a#dmiR$!9+Syi6&l2TR#?l zE)ozd62eSYfc}b8PL$h`##$?q+o;3Rs1x?AQG1@(W(O3%jmM`M?sYSl`0isxT*HAd zjmJuuEpwP+1EC-Fhb8rcEnatH*mbbmbx~McqZJ*CHCmUG!qJRq=e*5-M>9&`MFDI( zd)bkJ64)Gfq!)Ig9j=Qul;|**=yVy%W5D+auJs5%JPL?Eis3to+B%9*7-c#WR=Q-?0R;84l2Xo-aaP^d`EUyX6BH4z%O<4?BH59Lx2ZesxtAOF9`ZmzT9 ze)*)v%4@4EtSsQtu?ReiN3`#sM@y_Lq2M^7D~Lpq(6u{dLRB)m47BrcQXRpgj%c-x zn78V}Pdy^KJ))L9BK16C6>sKI^E_6dmCB>1KMG?!3Y|F$F6=P9^}jWpXCeS&UxFgC zhT&`Me>z;`RysVOuHva58E{uJY<*gZSf66#R&&7K)l_%@yJap%pt>)h4Wo`p4 zZx~V1HmSRMmgiP*{5N0cx$o8wjgHsGV;{J0`tD5;5;C0sUPzsRZI*XWTyBHu1fHK=GFkAjdaFbyJjF}AO>Nt;JrYcI_hZgCPZ2Yskms`xMV6d2 zMAlR^JjVsI_cP7oyr*$ZT-#LDy?$Ib*?&3OJa@M^^B_fV+HFL1*?2oHdU`+m(0DhH z(09Q+=V-`U>M-<6i)*Le+lD3EnWK?s!amF1(nkXteh1MRe)|~@{0_sV&lf*@oZ5+R z+&muj-}HuvQK81TQu_VvKa`P*|Es?!fvgrVzKou!GF5J!Zcc>uGs()zmOEe42zJU} zlaJgcWy^9Nr?$>=nFdoDJO3cpGj^H67oKvSpm(2gnL#6z+#MtB&h}cWr!?_etP!5} zT82O9f)6E)jD9DJwbGaEl!vJJOn>^Z*Z;$B^(aA_WT1-CGZiY(g65MtV%Z=5^lV&- z%=ak#x!mV6U{6zzHp6r5r|J)92a>G$OwMraZ6+V5z%L%kAQF+b%}t6>fWT0XeOg)OQacR$qHm5AB1!{8C0`faY}v>uZ7G6Eaw zUlvKaMe*2=^7!SLG%Ti!oE94H+ZTu_#~s_GkSJ~Lcho3FNF)+tYgiY`rtvQ{g=$B# zT^D!E>=a;aj4xofrD>9?zq{0ypqi52v(X=*MD5(BdLW!ck2hUzp`G+Z_|WQSxX8-g zLZjlIjhK5p&5`rl@3nRl$4U;OnKTRfH6g|U;8*x|CNV|#Uyn?TfKa6Bb!{(kU#!#E zsgC>If6|(>*`B-18(3kWIdEgOH^}>D7U9;cm+T(Ydm`uXbnn_g<+$dsb(2I zHF!F>xVg%2@J_vF*Hz4JGh4mXG4XK%UZRSFTe^Jou>{sUE16}mW7N)#>;rq!Gd|*) zzKxpmkC916>Rsop8&92wz2`ZEgUe0QjIc*lmSk_X+?}pY>}is2Q41Qtx`;@vD3*>Z zVD%F}EMQe(Z_O3nNlI%$|Ckcvr8TKiG@oA58KY$fS`fdKAPV}i% zQIFG}J?1FYNxRbu;m^DhhbWV! zx6O3U+utZU3o>-+B&IHp`v_0tOX(crirZ~Gzhk9UZPanJ&woUGuA`>zGvVktrQ7shw`4;l1NR`ldalqK0So0_qC z_eHRh(*4njr|9D2zvz5EOjPqR@$JKU8REw-+UnO-^xJWa@klE;O*w3Pt&Qt5{@9Gy z@MB@)Bmdfo5_cO0pORiFc=&XXAS zDqBCAqn=hYFVYiCUP9gXzcLvZMl*OOWj|8J&e3;XYkI}XfcIDo`fRxQfv4>B^*-B? z@qP6wG=I~`5%GP)+NI&<4-;=kn-$+^*}mfYRr3wY>iOPIo#Ez)V`2|edK>PR@yYXm z^4;@a7Gu%gOusgMh_3Ay5V!1>GX-JewLJj|Lf;HpcJJ}`My%+~jjVZCZhgpT)YsWcPhW69cvPM%y1IofTO!=b4pP z95!tVYPH^jdLoOl6FtNjV>vuf&kcBkzl`Gg&&_8N9ixb~sV<#!uHs{~KB8!^qO=UY zLmGO)c0-}b@t5IA^bR^fuxO5a32CpMlFNYa@aBgHQwKqdS?go13qidXniNykZMKqRiTDS3Ik|AWX%Tr}O2st9=&>8K;ZCzG!wzaWTucZad8qEo=E8 z^l2^Ujni${?vE!P&372>QwiMNWQ9jt}>(lz*d zCi!58|Gb9GuK>rL|1qI@=r zs~t=3VbuNA{}pAql7~yNlAiCj<11=R9N)tJa2(&)k~y+0(64iXLE>3c1ng%CVQk!B z*3==j8_ok%r|s5U?q0%zdD4qkT6}SGN9qoa_=M~?O@^l~^vj{qUB6Pj_2QxxhACDBAdtC9 zL9?gSpEV3I^C3ZN=nOMYA%}Awmnr-2t1sp#z3qbYA;wS~?6(vU^GR@27=w4}h*U!3 z{A>-`hp!mZ*CHpTb}r14BrA^tHY5_!7COkok3ySbA9)x*?hV-Q7|o$ga+h)Vyy2En zlOj;n^BLp3&aHZN<<1*9Tk&+fQ__hGd)HstLlxiK3%j3NU|j!Ep&<^j)+@S;!;zxI zFtbI-G4VE8if^TaxKA8H!9v75yH$DcI~?+neKbGrgYcbo!jv1T{emet^;(BeKQwR2 zmmT>(c++QQd479@g$wK5sFHDv(_HF1>s{;;#WhczCs=1l&X&p0C`gi4&x^F;!Ef>`QP4hg zb(LUv&+U?6{oZvyQw73L`kM;T{T!k>dTw1UHs5|FIE-Os_2UVIoRk|D&kak|Zrl?4 zYK3ov?a}%)A&TDP{Tut*xersnU#Z?h`?g(i*85rZTf6+^Rt{A1olm1zY4oVCXQ|_oe-sfuE862P(VSQZ&U4^1E3?%S0MkJS!XVhVKHR=rm-v z3C+TwRdxk7osX5KOK{BI#NkkQ{T7Sq8G5N?%GcLEy;vPinbu4A#hviWXGW>zH-h&t zocL14OdA6%z2Aak1ZwibP8Zq@#o}q3YNxQNr|LOEN;mBmi&>qNY4d)ihBW_p#m9%H zAmrhjn;X39k(*M=7BnE%h%OmtMJ>{(N1D(=AC=QAEiy6mH0xmN$p_ZQ)qyu>CW{*f zmwqciIYng9^i5{*>pcU-u|DNl`AWpdy&sdrG_erKQF7E0BtWm*RuA*@cj`gqJm9!E z!&&6-p=*v5zKx^#@o0q|YcaZ51(TA&g^7~fu1euE+31;v(8G?l@t{*QPiK;|;u~tp zf%=)}rVhDDN*{P=ICSw6H-CSRFAzvd3cm9_yRC%ysLKA$@MANH0*wCyNIbjXD^Ocz?yDjLjD;;!e_>us-AZ*`iDcDvaDzwf1sGi{fq_hhMoFdpHJ8j%oB z`n>b;a5R+um`hJ5xr8eQQ3Or}Dhm)?a0@jKlO?~-uA`INM5hhCEAW#NFaq7Wwb@Fh z2^bPSioi8k4V(2B?N$lx?=82VmS2u#Zr&2VvRQ4w%ug`DMz#sL8f2xzLz1F2AEoTc zX)DF{=g-L@{m0V=<2UcqsLP*p+DQBFdCneE(PqA<#xMY zCF|98e^eK!Mn#2(F9bbloHWC?V5w6e3@mB(4_$JVKn$>_5*eO~S0|amQ3^wLnFI2~ zF!Khk>#UDy`ax@??dC(e{Zc^((KOG~&yQf9gJh>2^6JP^1vj4}j@fgjV0puJCq__V zF^jG+DobJrF0=tg+{C*=n+zj<$$#y4dA$YRh98EYSVKd1c{QHVFpomPQry4w2b2jQ z3wSmG>2Mn>=OEiO9*Y*R*`^F5_o8Wnk0=2P9Rvcqk)ZLwgj#^=c;gq=dh~V*S_SO@ zQ^VqaMo^DT#$-I4_@XHzeC+2ZD6QDJ&y47t$0@CD5ktISF;J?VZhWyZ@9PW14O{ z+$v6%U^MK#)wwBHr^(0t<~}*eg@zX4kPP$6JsYa)`{ZGH{7}V9nBX;3aL@mN2{#)J z@jk0$lwQLF_a{j(8Pc45(?hd5hRGVK?N)=PHN0P)Dima$-rQcYb$WBVkk%>c+o&I2 zlcx0y&EK2c^uTr;&%kN&MOpj}ui28@9Dz%WCGkuOgVqEnG`F3gFj$c7I1eV{!5HoY z4EYEq4;W%o5D2hX(N1@}E`~&s2;a3f88TM|?*#=7-HSWa&hL08J>SYpw^i?e7^EOm z2VdN}O%qVh*jRQM?YX}=`RRe@Vwuvy2>Hu==F;)0u* zv~35dM(`Q%&$qz4aVYvjuYL9S#4jE`$T#ouYo|T*;^A0+^Ry(*sorWhn2u0Uud5r1 z*N`@8%b>_&u7V-($)S)P*_E?Eu-pZ!cs!ZIEx_`sd!jgGD9`nF4|l$M$6~7Ep^$PO zW#$Pit50A|CilzJQcm~-r6NJ+uG2%hTcx=6TDbN=s(^?EGqaToJ%s^CwAfBCzocmW zFj(}_9G1y#nCL;KrhEM{+|HVEZ@Ks{2K5|xKQJL|NQ}X7j4}r}!sa@dHX7Bf3G)3}vrd*H;nDKz!hN@nvut1gx+~ zS^by{!FxKA*^q2gFjG2-8N#;W)|eA~I#>(z(-I7;r`^*L3_q}Xp0+2jpy1_v{_Ga~ zN?hqO2ZK-iw0TJ3{TVTD)`oo)G?;Od`S#5T>@u(^4A%B4L702Z|O)%xLSeM~n_`Pe4^V3`fhgq2?Ws#k) z*e8ZnQsPi)ZwQPmFr{Gbg5eN=)p$cjVhz0suqFCIK#EYbogks0089W+x)qu65tEsL ziGLrKX}CZQRt$Wod;^CT@m9uB#}lnQ@lx0WC_POxV#p@;iLTKKI)QgT1U{w0~+T9EX!}iVmh!#F@C5>c#AeVQWA-q6;B5JMG3{e;%O~+ZARAP= z-2VWVyEps`nMCA#aL}U0?gw5G1(7&#h=yg@3*VxSc4+R>0ia@=_nVI(bno{2Z7B$* z$FoLXsdwGMU{8l*J+6mA9@4<3(7wcAlHa@RVKyiKlekRPPbQfjryu~?|7eC%aG>UK z{t%^Fj0T`e7^-|B?ZA8??h_cu*{^8>(*en;sNxcQUVldx)#0$w7Rw5JSa`|8TU}9(;ZI3B)A&VrV;~nTs4Q7HyXi}Sw zAI%MLbI=6Us7d{+0LNRl) z+%+%!6Gv69izA4ZyTC+7HD0I z@e_(bEh{)7%-9bP3m&IDxJpgjWT^@Ln9yBgoq`rx40k#0FGdQ#g>MWlIn3Ul+A?#u zh(-Iy(`NMnl+nhBO85OsFkLc_Sv_jfc7X|+GUETIhvZ?ia~5XONBdc;rvs{{;E;)I$pieYSlYUuhVIEJ1%A;-A=vHX*Atd*K4Lf z)H~!KYeA#uH^m%+Fd>6YlpH`i9O;>PMrP1JZ8lzY8?{!m)o6CW1!%OIjfUHX?M}Pv zby^+IbL(v{eOdP!I3=w~W_zb(iqlhYGu3Z^&`ZXQI4J_=5`}j|$q7eOf=kB;)Hw>H zI^@Ul?MmB8GV01QlO;At_Lvf0YBM?RS0A?N&YU_jcL5d!7&ljJ!F~2LmRv_=6&91t z$ht>Ae1m~UTT*)~=;TYxIP>6|AQ?tb+kIB%{X?Q3U8RT%PnR=JJywx#6j1j;XOUs*cKnFSq2#vJruW0Q2ih z(Q0yX){loAEN-aj4KMogZL&b;%WXK*V3>gaLTMm@E|}T?2TiAn>3{3Ble392zkjKb z_wWft!D$IL#p?ZsWWC%NUf8e~!c?NS1y8>Z9t4;ZL!V1$FxyTZLzZr*G^pt^5e6T% z%M0<>`SJian{EZ{7Z2(2xO|uX?au;(1N7R4;|SCRIK>opgf)kT5{4FJTs=^6^-C!h zZqTPe-*gd~Al_^=L-C&8Z;nQm01G^J?~l)=T#rR3(-mKmIwdWZg<>v-E-SbuT{f}h`I;L^|OvrgSj+RR!GqQ3kISo zK8=qI^Q48HXZJW7-+n$Om+4?CrFb)@N)c1OJuJW6r7FOil!!jH3xp6Z%2DXQUidSR zqPQycmV@z;8HfN_NvNOH9fdK27LAR9eD2M>97suSiU&yQNWx5&XgEHzc`p1Xxsbrc!JLt$HNN#xbm-eu?IK0!e9e<$S`@}0sSVl z^DO>|(bwZi{ES+HPEq|)&>|CAdIpbL9u6)ZC4Zp$@)ttx9M2x@Wk-9)(QM4X;hTr$ zXF7!Mpep{PeS0G@WPagCu?Bkp_HN*NwI6Xv{3Orxqxj(m_++P*#2@iZ;C-pzul^Md z`Wt+mZ-}?{kG$#8=8y6#KZ<{%4x`)?n}ae2O9)n(-pgh8){BW5rM>#*C@n6EIV@V1 zRc4~y)}aA7ZB#b(iA^(>k1{lm3Ip^s2vx5Ct8=1&?Ms5yirjW))_h!R!zORi%KTV< z5d9o@Td>wZRepfgcDKL(Qc2d26adD*@X*)W<+~%7r6ee*z@na2#ee)P3dIzQ*%(a^ ze#VHs0Z04NXQvn}ynx*ZR6d2^pWh27Z&AMp4hwfSdsutRW7-(+Hm8A$lCrei2Nx|C z`k{1!b%%99Wb#LTY}WU|b;)uHW5HuG9D}prEE%BL@f8GXiIBQa9}nqjc_JBBc>xkj zeE&kg!Q#dDAPJABbb0>*jWvJfXXRW}EAo{ZLf14{^@|;IctabsT6@jFApNQa!=uWz z*j$%kP+g)F{Gxo=(Y1O-WOj@u)-5N(JWk`|L1Z;zBA+ciw6rk&&W74uilGYi$I8*m zLnZ`l{0cv#U4?{awsVub5vgx>YGA|T=9Ra&Oe^^pvkoP zDuaqwa1Vz6kx2nfHjoA}LttFh3Z>4hs(6+GU7AX_o?+fL(Iy;^(PWhBKXVF9Aq_;OBmP*Xf~pE>FrI-NAfQ3LP9A};D^Uhn zX;fVnLG>c=Rz>-6Aqh>WEcnw8Ca~hrCx%-Mv37Clt5SSjuaSwEEFocX0Q@fGD7-(M zFF1KH=&Hu&;KLUN?II90s^i-rg~owsQh0K|b3Uz(r~Sj-5i=I6(_y(YD$GNKZmML890tN5I~f`TLH+6H$Netdo!nX_i78K! z$BN+ig(#MQ<5=Dh#IZuHio8EkQLh(cn6k6pjBB*P3ItgK3N?<#qABAXGR@bq;bn%p z&eh&Me~2tup#%>XuSi1(?UY$E9{MmdRVaC(a1}z2`tDt<;-}h0D|%sX3xqBAn_PKhR1oWN}jV#&M!Qh6wv5R11-VX#^(5dg_iso=5MKBGPvckYa@&CGA{nbj^77Q9n0 zb@F1h$xwM&ueCYPinbr+Sr4emIoApwb*_~XuXre6& zu7A(MtefK&0oY$shDq?>|05dWXRwIHci$OfZmmXkviKdYUmpmAcqrWrRx)@UCs!S0 z*I5i?$VIL9;W+B`v9vzfKOV^l0;BPN#R&SYs*|b|y47(RiYrnr;=sF=*zjZx>&0zR zkXyz+uMid?Q%tR96O*|-V@OSO%9i8ia7eK{30Yylw7$=+#yJe(VjLj;3=z3kK8P$! zV$HGo^AdzsI1w*ifMFAGrh0OHL*yOB`b0^ZQy6t-Y@5&Lb2eDynIOj2m8^xFhB$NS7_!L(+xGaulG%^Ex>YfMiZ|o<3 z3C1&AD&owzqrgH0kDvPkIh9q>UpWDU!T<2_gw=D&e!a#5^QYbBvrZIoQeUB*a_(%y z53i#d;u+ta-XA`B?33X?-USQZY>v&)k^S7r(+=5)He!a534soRTRlzjF}h)od@z~8 zf|a*qB4NAA zR1+DBnTC>KU&NYZoDny(;HEc@Ww~LkRw&^^`X8LBn2w_PPY)0Ei(?egb5cc!e!`0h)z(u^W zn)`J6f|40*RRsXV0!L+}2y=t^>gH6nD~!+2z)U2(L{qKxu6^QLgSn&$QgjNpl8nL9 zt18B@hvkPf!Rq8>v(6R~71Nyd2YGPj^EXQAP|Q)BMoTq~mc}%)YFi7{-4A!@U>j5q zl)5vS9(cgWqQOF6KD~Q?%4BC&;g;hpK-cyS0=HlR%cyG@$779nrN}-wy9XUl_A${2 zU+J0%w+I$YZ-`lZKg5ES^SjVy`EtMfa;~Q641&ZyNTNvCOPWTPhR?)X_^emzS+9&| zy-iyU3!nyb*#f9#6@cRzid$U(=+&fB9bAnkWD>^YzGX`hBjg0^(D|FWE?f|FMETxB0u0{HBSnrhDhQD#)nq> z?Up@V^5@yt^~vY>)kkPVo+(DT8@#tj4^yCDLdoS@SvZmb2o@&=&9ew?8}h|^!#5Z! zLb@wuXMp&WfH*}c5Fx(;oW6@_nF$K0|bzY8g zLk4GvUlHrM;4))=gcxhy>;(Nw_4h-6k^$S-4@B3&_)Pj?yJ$`FH?hfTVDWH0se0#Z z?a#qOy-Kcvi7&OMrezFuv?Jkth2qmnEylDms!b&=d(B&h!EoC<#~hCaTy^GmLyY)!;;iSCH$@ilqK)gm?1+`@1+Zvd`cJgUB;; zXd$Kl?~n-_U7^bRH>XknT9FB`<;)+2}l!o8WJ*L4mg}U z5tf^!hI+N1TWl`3eF%AA?Si8{BhZ&FvDk%^@$}i)w?CdlSYFilCU@;jNS`XK zdSgzy)Jhb8F8ybZ=OCA0yUHwi5JpKbo6IO$@fLBj{)h`!KCRGm&(^D2B+U`Kjgsa(k`8_UFr|60R&yctg4FXho#TL`UUx`&^BF{r!|4k!Nb2R@7Vvsf>;N6E?kn??U^ zp7z}Lf0Ef%`8(!UzOO>R9!hbHbh2T?)My5??K?e0OiEXd>`Mx9m8vK z+Yb0Q#;YVd9G2Jwak?4Jq&?)^nVv5PXIl+&dCPqoOa>31b9%A^oJ3mASBY?VDrVnk zKF1M!W~bv*xt9Q$udqwx`+(sU`_owR{N`VUtdmwHhbnh&2e{xMlyg`(Ckcd}cHc-L z1X{^pg&bL=kOpPo=S~Yj*@=PPl#!6$$fw*FvwdbcK^aUg@cNY^)+W6LICY_@LdpLM=}FV&G8I<Ygd9_bTp<z7EQsgDbS;R*K^xWR2v9QFe!&2)B+R1>sFE91?uSY>NSY+o$E>9tHm|syzncPmzS>UqGPB7>F`6!M`AOM$?=yI5st? zmy%4B!s9?qUDksj{L7?>Y}6D*B)8e9BB>BK#2YcW> zCs6rv;KDZ_ktz>&V36Q$yWD*s5At-dF~ewhB3u@+!@}Yd-9J2v`*gKk9#U}K9`38E z;lpSVFG9sfslBKhtnUZ0QC{Yvt6V6Z3$CXs@yoNNql7HyQCE8$&akO6#zF~VZcLNV z$aD$EMi3CB=(1$_aTdPHsG5)`s+eQHL8*>`=lyz@kfGA=eKQkRsKGHbyN5^ffl@ebp~BGH0BW0&2iJdv<)OdMu? zo~Qy^rR~eGVHqm69}YDEm)kA2T6ltoe~+9g$?mao*gt`Bm15CiRow4M66L=^@lv6? zG6?-8EMKhtD9nBhbYf9CXU4JvT!MQ%cMMBzuKDsA|{LNbyk0r&vV7@zi&mZ^aT2usbfN zTyQTxqY}g!B_(p62Fk_@K1*$fp>}2PWh~Tx6cp7f(ooZmYo7oHnNTF3nZ+Q}RR5B3Kaoc42#pm?UfY|t)ywQ&=yo6uuR^yCIgYHa?!uqlTR<;t7;F@-`MoNfai<1fE zZIHWr7R2MxTU1t(k80LeDGJG|samNuoug_na0Z;uB7l}ulj!7#coS1k1l(i)ZS8s; z#dQA<6D>l$);NVO9;9f~m1YJ_Czbb1C=@iFNV?8Wt!AK>3q_yFBIEGXa@HdAoKguI zUUo-L-+?iA2Rw0~DU(N1Rdjr+Wfem7|Ib$!$wO2M>e8^j)x<9F`Jc;p*3FEkOm}8H zU4sncYL7V>t=%II!B}D#OMY6*Kyn_n%j-$JcwDYNEZ?Osxe8c(Ob?iqYgy9{5K=LG>>I--Q3M7qi>Eg6|*r0pzOcM1-!PKI>z9{jG` zL<;cJ<6bcb9G1Ix>`~k!&AL_kDydekro!4%3UOwr!x?dLQej3giU+-r-%(fjjvzw8 zUt`T7lLU#ka3Nc(s33<=>+1vT0C+tnCMu#8DC?eE`j^BfC8bv+_@O9a3j+QAm zR%Rs`Sa`2M_#O=kjAJEZaN6x=_k^%yjmyZwQmOOtxGMe3vBqqxe zjRD}sMy6MyE7TbXd6$hCqEJ$Rfni&K4l8VTJ~q2B;5GCnWn=|H4&DJ;!)ew$anYp<0S4~Dma|czLyxN?>5P4`HqT*iQ4uB zV~H_Ri!iQk$H{W)Mt)tjuh0-5tZrJP3+6CojB^7@G9J$s;8Aq!3Ei+KH224y!;IC5 z2epA`WMG=iMi9F(Sh?`yW|G1lR+-2#XCnJp&lFP%5@z0>kn@V8@WKz8#_9B%8i$R0<}m!+`82cXyx{j1ooV z0_@Q1EJ%WW6iobBica_!EB|EZT_}9J?Dykccy*3aVT}rihqlv_!6J;MP;9fXHdo6X zte4dxeE>6w<);)8F3Sk`Lz~2!X?CO_7#FR$r1H1~AN*K`_%II>@-UL>3H5H(OBLtg zVkT{TY&D50e~(4Oj?oncELu(iv3E8JZjuR@yU6BYCt8g?_(+C}`G|OzRr8`P+$e&t z;W=b|l4{tF5vHFn1AFwpj)v!O>9!A^!e!W-it^z+pOI`N2(Dwsko_!t=o@H`kd-Uz zQD~i7Ly8ntf+!Wp`vWo!VC9wpK+nJM41;bdak$kXcNT`H1v(5(bp5mtZnrrSJRt%9 zk1GWcSF)u-LHP+8IQW>mzp_ZJtOx*7(SlR=R;yA#x+?i(4gOOMSI~UXpPp%_P+IO< z+HO+cY+(UYLf7pM@Fx`f?`CPYT z(z*X$fpk_8g%vR4L4nuU9@g$ov0~XEZ|gF;kFwqH^!5itUfY{ww_j`JGDP?ocUhprhTLoM%%BFsfD2iL6mKzrmwF*^84gVI zTB)dVav4y)Hcv9E$X~iPmqrZ-Zn1&S z9cf5cBh#x&Zc-lW5fjb^U#UVbUHY+#@HZRH9>yM#ErblCQhhNG3FuZ&?19px_mvv}7 z>&zuJ`vfUEz~^_X+Usvj>6&eL)cciAmPZw7Va4VFA$kyshvF4nF!RR+a(rA=H2DVw zK$+fyRP*xTo*Q*hc-d3I62))N4B!kGTbR+pa|+Ppr6p(Kd16}b3Q4|2Ndk&PP|=MM zZs!eg=fG{so4E-w^|D6C9Kj8*&_!%#?3kv}c>9DPgE<<&g?4hs=^g zhIfb$h6!HPV^Uj=-TU42u)mF)Vi`%64%YpOIJ$n0HeV=}mURR~H5`!_J@v&4ut{Hb zUN}Z?JzcC-Cs+^24AClsc3Qy*J2S_LISTTXA-{=D?}ah%neH<7K?qEYdSL;2a@gr?K)3+5xBgVhZ<4U6TFMJpfvK>I)&^WM_Kb@q+nPOzaMp*WtxCl zd{tSm2#kRK4#lU%ngE|9H^3;3hT3V%$`~<>4U!Y4KCVX$K2~Tk#!Oo%3#d(sR=yZub*!1)&|_u@m6Yt2|$>i1N;8I)4k+YNNx4Y(e+<2WDm;W2wn zbBVrM(|QYj@#rlCsalS4^jw0 z-3Bxr29#kX;d5mOSvh39vsgzkgvw3*WgN$TD9N$pwdZSlZPz_3v>TtpT@`jozl%%g zwHNj730&FT30pI)_K#nZbh|| z^`Fi&g+~iWSF@Hv^Yf;{(2_r+iA9&-MZImd7WCVUGroq1i7QOx+V&+X)nAk zsd1X|0=Y493B|tU%}fRL;DRxd7}>mF)-X$9$-E)3+=Y8*Agmk>w~B11fvnB}R022}-gXw$&1}azT zqb3To1})TT=OhQlVr{l7g)Q$})&8`o5mefl! zrL&W@W79^FIA;x|ZHL_1gq2?@OHY2>EU7Uv0yec3{)QN*(E(5EEt7kO^ z&T`^l;)t1yfUB&3z*+wQCMhQUgV|&d!r$At*N<4Yp98;^qF&FX;0kyc4f=yCt8?wq z0{(mRD65Z<2ud>gF7Tf>k4A>!UvI5}BGzbiYxcdR=q4;+c5=hnCF3)mVO}hi4dqpT z8FDB`G*TFquXz!Lg}#@#{d);zv|^05vhW!J^1squEa!bGV+R|5a$3NKOsJ$SVkD^B zd-Nto%S3*1uzD$3KkknfmN2i%G;J83`|*idYMZ5=hRLORTP@}au3F0nyXXF}gs?C7 zlel7)2Bm;9t&*7v$|}sI@i{{dnap+mjC)>TM1z3-tM^>>ra>#P2E!86ial(pE*Z1} z6*d{bRR?|W4H%)OQ~Fr0@Y0=BpV3=6KcKkRYH>oXO>C|mO>{0PQL&jaGr99~F$e7L zGPMtSGagR!wuuL%G;JgbBeECw4Ywd@mPwHJ>GJV8a#`Z3xf#P$L0Za0NZCh_S0!6M;!(KNyKhW@kMi&NHO;f143(M zPWNfDyuUxBYbR&4CkxOk*D?-KIV-upL#_@9!Pl{e{{Thr)~l+?WX{^PMzqH%8v|vl zO#|*SE?_cAFulW)@KT|no65l`%Nvp#jP!B6et;_v?9HmE5O6~97Fk`$rPlBm)7T5= zWagn22D70*Q2JZBkJgA|_MK-}lGsqJCXc#`*y{9V@b3uK;Y7DK@n0``n37Qbms_kN z$}~?*Jd1)Kp4;hY0}mhg@pL%t@A=Q#`3hr!vAc|}K-$qWBr%V!*_6IB6VWAg zwnloAuHgg!*|L?&*GZ^grmb;I>O)E=5+K$|n4H6`uIVmBkDfA#XzdJ9Yh)qGE98>}CkaW|$H}{p5LMbzPzcgCq z245r%P=(a$j;3LzFjq9gx<}O-o#|4Ud@2LBC$QXR9EHvC$l5z(@PH_@(2`$LNvUjP zhY!u@cC0vdC6$3yAJS2IfY~IA?8&kRtOR5H%9~LTO31nF@oMlQki*|@HoBn0Y8}t> zI_+ky-EDQ+^;Waqh5@h9Y<1F?xlXS9$OnqFq+}rt{1Cgm)Le}IC1543^2PV7_ZM7% zpC{N5x+339FQBJb7*Db6>_6e|L4zIETaw;uu)8Z(&|fShSy(kB?Nl{AqK-^4HUHvpqUxP$Hfd z`Ffa|JT=O`)8UJN{~Sia`V`Oy4(!QF)J7l-`s0AJ962k_T*Ou>Jgz}d5Ew;3!^m?MO0|oM z*K86V{=jW!6yKX-Vj|9IXN1<@Y$$?+KR6{pAVqL)p^HE)jK(YlY_Vrg1HXqn7bC&y znl=;8dBju%rg7|g(@C`5ho0QSqbi-Ss-%~!(P*;RwZfV=Ba^2)>Uha%++yI$*bB27 zOX=Vsj4{#g6MG)40hM9R*#f4MSY9Pve$1H&OO^$;It+D{84CuH|7?P*?oIp`(mKAv zgGXU7r7DPdFgIani>YdHfcX>!yy2=aoQWgGCm}Ei`|E9!BUUyjf_T+DZ3t>{1j}(W z#!zF%*rOURWE?%R`p_ultalI)0YdLs!Dyby>RtDDe@|D;GA3{z;?igtfbalck?l9} z3kFkk1}aNNNmJ42RmBySm{FppO59i&HRMf_L0%=m3|s7Q>+>_+<%y)90j4<~C_f@5 zG_Py06%?>23mrJh;k5vu}6^NJ8Mri}Y4QFQ(= zNfmv5Mwf@?%Yr9xDx8QITg#z$j4mOfZk9o6&V8M4ef8=UIEfY{=PNCgb)&m2cevYr zt)b%_@l2EIL-jy}>oty#@Q zM);~juVp*4iVuhR273KS^>$TsDMH_z#IVVN+9uNzEl&=^gP zPT~;etk#Wf$L3Gr_yFRU2zSDNooA?bVNHG5pap4HJL8zf1*k@ex!x{AKkiv24!LoM z^et-FXR4p%5q9a5>E#S;bOj@M5tLMA^0qq191#bgyRSJ^1V940SIr~m)KCXfdsO9jKB;uF;Ozu zKRj;J&q7h_7CP&Klf57)W@R2uRU=M=EDK4p#38-shMsg#RW&Iv>ROGu2l*rq={iAz z;mvx(knviZ`q6M;bQpalw}@5g6pys`5twF(UATN%rQX3TOx0Oa)Wk8n5Gxul*4~$_ zy<3H3FIL@|-E4E?+_P4y*Zk^LouTmT7CL>qeA?ZovQ)jLU~m~U>DTDwwhJX+-vB$~ zrFS`x=RWFKnCXg{4z10h#`L=Rd<7>fkeQOD5SF?l5g%1zgEL8^7^#NoIG!LE8qD+X zZ3S0UdOT8gqsWV`YOmo%!BJ1!(~rpcq&CnSS4Y6NjZ^(7KE2;;Q#_+O^k+;p;5#Q` zf7~XN6461abN|ys8nP*O5Uh8?R=pdre$P#o5s9r!UIxsY!RxLZ4Ws%>4-Vs6iW%s zIBB;|dzVOCiW3SfSmcLonRWYvg#}42j21989KLwGJA5e1fq{jSqz{j$FIMe%mQEjm z(X6C@=Cp`0q1#d zgxAWc3*Tko@PVKGlQ1nYe$@*%gu75IR?xL{CD#V5a6~f=jVvl|(G%JlQNEY% zN|W=u)HtZ>bicM{0*4G8iJOs>jn07>znM*HFb=~-B%1WtR!@gRa{Tgex8FJscSp%4 zP&S8Y3RkL(X!QjlcdfF%a$=7%&?w6&?lPz>1tv-6hJnW~N038AACiezeL#Y6U%%=c zRYAF;UD5B3Jsp1vdN~ZoR7bTu?H@L)L~V%(ro`FgqoxaRRNyWW4IumF+@OZBA%~;l!u(HgH-eN24mthT^o*7+NcvN zjjn79xWM=p^4a-z<%TVYl7n9^srM*nbI;s?MW!dJ$r>Lt!MVSM6P$zNSNf;KD zDQdKt4H47{FCU2pLG5hvp&e&tlPX} zo0EzL zny{yKRK9$PH@e8j z7nI^<08$_lEl0sAMFngTiYXDOAez3efZK`>5C6RU1$$TS?^E$o*aIMR(i6jZxS^$t z2xVxMHqFWTbxh5TMZUs>o3Kp^(@5Hd@I4L!)SS)nvuxH`f=0lU4oxppi(z-CXyW@= z+Dl=~9{X7sc#vKyECTcINq8Ai47F{V6?jjve00Y1j*Z#Dzy2iK;3fL>KC6FlbSAnobspipP z0MYk4ujbXb;iqx%k^0Lj0K|cKi!`cYF`2wIS`U!Gt2rN!k`{<#2GWw{l3-k+<|wyQ zuT??ZxKzDNupRYOqX|r8?l;$U7WsS}B_cD|eRHJjTJpF@iuHp`?>iEwvDU~t_91mz z7hg=Vm-DdUe=Ky@q7W;o5u{h~vAHbfZ##A_S}6sa2mxn;ba@#{#PtC|l;|pV?g(WA zan4s&P>`BB=t%m`LyBP7VoNZV0ET0OnTy_sLV zjkx4q9%pU(7d$!evQN|e=ZmRa^!H$zbS|w`&%z7&lhyK2~ozdtZ z-x@=t|0i0?8K+YWP?X(cKUcZGb&qRo>8i06>n#U^ne-4W8Gk%3+zSf+q zc&p3P>V4%F%r*G|oS?AY1x3}(D?oqtMujD8SiRXk!eW*XN%o=0on{j9-#xtd* z5Vm(XEVDo~Trk>a+mrZ8#V_VCbQ4ki*z)j6SKDp(u=q;<;EhwRgP7R*ac#uNUGY zjuL*YQWHP@Oovmd;$C1VID>!U5jgrMq4Ce?t{}l^x;+th1E0zqUA_Mx2hpp^Rm5kE zm300LzA{n$VGHeuRKKBk!3L1)m>~q1n#jY$o-GW<7>L}WL01Q3>ZS0uRp&;Q;5N)Q z%ixYrX1>oP3mMAsUnv4Hfr%LF9&q2!vb2R=V^L&-#K_h9QSlF}F2TBAu#Q_4Laj2E z!Q=yH>I*SicQhFz2zr|fB7nepqgCkLX16?i0XdG{6hXTbZ z?(R-;iWO;*B8B2sq*(d*XLmX5=F6ND^8TK=84^flZYG&K4$X3JKIdN`5u^m{piunU zWcIY4pnEM8vq0uYG`#k=Y&|w_wphX7)YG=3;7_rE>AlHFtqXlmQ0dd7Nr#slOKEp5h{ae7pmAT~ zAXsc>Yrf`KFWZX^4SW9aVx1^j2_r2S%Ph)$deJO_@w46*bz3Hi`* zz=U?{IX?9y>!Ql9Ycg})ihBY|t-PJ6igkad{*T4`J)7h!r%e%Vg!2q}s2toBPWUoo zdrp|cM1*NVSy9FqKyO}a!|0WWaRLJ>dS2vx|5)$rUVcHQOw2@4nEgUt1jp{QEV7rc zF19ODb90vjcg>A1nqhNaXcNQI1m7GwEJw`0$O}YCLO*vK!GcS*5O`VHwuKfN;fnhH zG)kN3=EXs3?5I^c!W(6()!MyO9sL4hCZPbrh(zS&wueI*DVj24J0v?9<(*^*G49aM zh}i=wuZz3`WCIGP!-%{C$oxb87Q+w3B9*XLYAKNhAPVbJ?s;wY4q@hoobm=pQ>g+C z469P!GRm0_uz!loU^4xRpf5DRP{%*)YEC195rg8IW!FpZi%c&Mq-K8IuDy;D2P;aj zJ9t#aKfs&Ln8z?sg;~K0_ZfXqsw_?}n9A8c52iVw;tCgLpl_c%UxU%L?sHUt(hFf~ zxm-F`*mq2^aY=#04doesvr2Ao#D=~OQg)8m8^}R;>M3I?E$Y%I(+(EX(r5cQ9>Y|n(8HViZ zs^0dO2|dXlt8f#ti1JNuBIzkdOa09{p8txhFBlZd{n^L1T*;u;=N5)C_DQHXEpEAo?}!13)z*XJX|#YO9QOI~92ZX2s9Zhe!Q$F+qP?{OUkn1` z@Hn8CpUW`FxxWYrtIZT;-)A2;c9ac`sI@Ws&p=XnV)kfesWek@TOqc;F z+I08ei?9HRPvSj(K6X3iG;jfO;8s@J?Y;h$H$}WRO=fna&86wog85O*f|OPy;zima zFC)2b*Rb%);O0fu$`9ZE6@0C;T-DxeD|_*n3~NW{c-iSp>#BN1Yun!Mq8p5dfpWvz z@gLU=)mSKxLuCy8fL~7=e4NbMEBIVsBGhLL1Mhju zXvOZ_R%$R8$5+WS6Hcb*7(_jK_1**yEnTTX=6@1w^wa*jg zQHMDc>gi`%%kv1F<%FY-h9XpC!eCtTmlh%!WzRwSLu6&yrW7W5#!F~Iz~<0p=Q@P- z)#>H8ef7nnoBGq4ox?+g;~!<0U8-t|6u`G%f5+uz-3Dt-x1Fcw(aSuS%2Es2MwP*6 zF&25>SkM^)OgEg~D094dcQ{(IH^yOK?JY?Dx=|;4QIeh?ql_>t05^SVOua+JUaHt? ze#qGIWF$>j;N!4Fjk<#xnRPzAP10%Uvoe8nmUiMEF8QxqrGK&-Si@)EO#6H%)}eR8 zHZpP>9UdzcGZ_OA$-{gr9y!$A?_QC~0m{3+&HK>C=bMLrLu9l6JG0d$B#r{Ak-Xet zDmV=~C3`$7p;vB8x^Oc3(+Ij2f|32Mp_k40VPB_33eZH|gYT7WyviPDd-|`&4oUb= z^7%@3{=4R!@h){UyRL+`i*ov{Zxy?R?qMVdNc9#Clu6J^ zq8In~MT$D9%ERA9GE#)h4Y$5lscV{fhlopJqFJ4@Nb9pep&{#JOy?P;yC`^acdv4z zrx&LixZ6s=HNWRdP$5a2^+HqJ24mNSQ9_D6gIR#PDXY_(^Y^=lFMDTb-oCC1*4mLiI2EPQ{RR5sQ@46(xVy3mJTk ziDkw%-eeOBTQ7o!7og>?!S3QST{e;qg==c0PgMU!UF>3NAY@sd_U=sksmYXlF% zwG3SLhPJ@GN@WriupLtfWw_)c>@z2>gTSvm6r7$jN>iv*^HU9n+bG6q;w@``41_}K zPZ#B=oles&DV4-5m4Z76@kvI5t_K?e6b)Mj_CEhXb;}-q!@JKWC6+Prg7VXTZA8m& z)!u~-GYXN?LaJoz{4E1Xz7b?G9_7A*DYh3|k^BU|woEgnDe~dbJZ93(-YQ$XraM;e z4D7+_C4O~oFZ?k8aKege7{0MAu#;G}yXA6gN9rtvc} zRvkT>D3cxU?+YKiw^!ywWeTunrY}lpLOGN#H#%eXy;`LUXQ`dL+RThUOR&G{WT@82 zD-4nt!e(VWq1jxZr1`UOi?>jIS<xA(v zlB;cgO&&K{V2=T};$jP(SJ2leu@R+EI%2tyksegO#_2HORpI*W4bFWz?7kBQ$={>= z^f(`BnXOLu9eG*2WsVuxKXas<5Cb(hP)ym@ED2(8rl;hu2Pvz}%jU+nJ>qc6Ti|+5 zK;ymIq#G@piza(EEJ;2L=^{}#f6QJPe8R^dyf)krMs3Z*v!&_s>fVaH2#Lw}|LIKes$vwlODNpx=&S+)X^eAUK3_-WoZo(L*BQ862Do#k&j~qN!??$27hpk#b z8}4l2n50AKi$Mb!{jq_tS8XHy*k=>%JZKCW8skPhVr{uSLNX- zcmzCY2Hjeq_1R)GX-5IHTA-R@TN_C`o?(u}GHfioQ`+Jh5Mb`Upuy)+h3 zM$)n|`qG+K+c4T22FFET&n|||#g9MsM}+76nl^XbU(!tF<6HoaMU%}y9P^&2WgZPR zeq&2QUerrHob)n>iC^Un+P$7Ql<;+bEV(_@yO0upI(c|^|K1L<&pU_R*w>iSQ zQD-iXJY-?Si{DvNL6o{y?Tu;?ueO&@od;_>hgrpmC^t^9xbRWQm~_gygsidd1;U!e zm*8JmHwpQ00x|D`^{Zk>jsFjl`|Qo0c%!Xg=;_4GV%9=L|I%_#YHVaTlIbGS6Hb-i6?lj zdybx4up1gFmuv6n{Ud-nc<=8M%6e_j!!n-rJ=11QrJ5<8kZTaYVIiwZ3V>COXKzWl z=yp2yBs!sU;PilRV|+3S@8tZxf0NelUA;w{`8~b-x#EWD59iuu;+6486^k;mc#I|u zGOclk;;jAA{^ zV;gBLmY13x&+o=llhxYWym;Lq8h;EkCf^<*HON>pqTHV#>Rk#g%&Z&oo&g7UpTpl>H3nyb=IOaN$5R%$ZVJvRCK2q-`$w-+hsnL zP1--te)S_Msra7N3z=r|OvW+HTD%SJVOzecU%cI*SG(zNSd(Vy(2bd#K{NZ(`R|&8A~T$(UaO>IOZJg177n?l#uxZ+lNysD zNMNy`IOZ=9RW?_BUv@3iaodZQA1!MecdgjqPSgR(n(cSwnpgkrZCfbgp$$k9YR8wD;eN~`Dl zZl4L9ic(dbeq^yLrCGq0Vmc-dA8U6`R2GAB$3CiiTonFLLhJ9AZNp^MZ@yQC$Y)j; zd*erymKIiF+J*H};||_#nu488^w%SVojRE-*%qI41{~kI!XR9(8QPwT&&fK&?Y{i< zJHREQ40Z%ZG`SEfWkKHAJm=?EXgg+^X3vQuw3G}X;*&mNc`a|~lz1E7 zB29Gv-49vYIV#L)-s%^=IBTHU#ZMCrtPOjXx2XzbX{qOJlEG2CcvvUBF8Ur-y6%o7 z#bixa&?rjx1o`bq#F=M!A3oS6!iIz$gnvAU+eH7H^tOFJ?IR`JW)7NEy4=`^TN+K_ zZVE$q3sOEB&XW0eueYHpQ`yCO{RRV7TjnnB&|Qf!#yRwuY0lqx&7frM=uiejC14up z8en3QLir_W-OIQ)P~4e^ zUMl`=zfm7DRq^VC9}O_BTp1(XuDP;g=G-bKdQUb*;kdgl!a6bi!&GV@hB5JaU5nwS z|7WZ6goW27FH=ZUkJ?TH$JcgVA099Sj)|Ploi)>@4KI~!*x(aCb3}0bh{Mgel)coIIyujfQdGbRGqymx@FJI zO<$4t)=*e=f-JSf6y*-IwMsaK{|8M|va;{a3n_{l2CT;DU8dL!^h1Ezd(=T>MyT?a zrldE9ggk~u>hdr%gr$ELHelDPICl&sM`STZ=<=hc2I?elOuB{I2_~)V>6xU0YrfP0 z@f%QAt++Edln#y099lYFhnyY3+#5*XLl26Gl1%?ZK)OtQ`mzwuynw?C>YR0&=VF$C0?}sAEthsMYeEiEmZVRX?a1Gq?`?TwhX!Uswt9H1qes%jL?XhUUgEqFj7Axy=2)N_Pt@y{yxh`>K^G z^Oq^t$^@c}+*1Eh(U_!&#PYJX}8l%_28eECPtsL-%=Yj89mD?BPZUV>CRwaqdETKiGA0R zr$OWKo6ABF*1KFMl$w_y&A>3auU2#0iI@E0XzPCWmi@FPgr+^5(zZySFB}P}y7wiy zQ=Y@tETwOvJl;)sDH&&nAT*Si5Ow|%;lz~-*kRz#FSpaQ_{5m==A8b5CdA_lwXth< zB}~Gz zW7;~5lE9ZSh-1d1Vc%C3vo3V1%SK-tYN!?G3PkI4j?ya}8ODVXx;i*1&X{ezekKtN zhaBLoStocjZ_%0{ZBJj$q%CiZ<=y#587Et5*7x0!a^5}TeeK##PDRign;0*Fh;c#- za+86CL@T5yr#+~q z-Gkm~q6JAX!+*##M_HNX;A2AH#NZpQCHg$?qQm$k9J`_P8GV~K_#Hba#g)MuI0`?? zOdQ<5leeL{qla#Opxn-pUp%Kt^3>|kh&C!Nu#(bf`^f!`a0ER-GuXv}Pb?j!KDqb# zVp)E!Dj`2Q*`?d}>z;4L71@%@P8|%)BL&b>;nx&sN=Z`Y?OXyFO{+@Q@lGq>ZB{x+u;^_%x&@hbdFZ$Y4l@K^a<6 z2@Tbz9Gx~go)QCnM>pcK3olZh~?l?YwcRGK0Bjk7hb7t zLRQ8X>aZnDxDzHyI6iz4r+s8tY8SO|>;yH3N-uA2Ig)HNixS|(OWv@uRL}a)Cf)HS z#wkdS-s89KS$cWp%gPojgXMnbHGOWGGzF(N(G&6^(i2pfdvjbDVk%umb>@llheSV! z9hWy-%hLK+uD)1p2}<$Is&%~JJ>kgGdSw=EgTL2~!pEh<@dBnqIHnj;)kT*YKd@Xq z14eUOvLB{&MDFnKRzT8mdVT9$s=DX|5q$_LQ{`Zc5_yh?wCS{pl8KD!h4nk~Zt*p# z*H;CFj2}K216n)g(&}IPacWF+o0lH_L%geFs$$Q4cHI_em64=14yM^4_E$H~)6^?E}d zS_Q=^85twzD)WtunjDi%UvO<75mwk%zmf2rGiwpwsghvn& z)!D0AM~x}X)OA)IW-8Ph43R|y?>;V#^XA>b_-}*b$gp3wdD+rd`qjEt8O@-s;Goup zOM2kf`{i8sC8m89%I=D~W8l+)YeaKqWi(;ilQPHl5Pnw-C8>?RuUy(eeb;W*AAU+#4%ZxN*`!+)9qMX z@y)G1>z|(dVn)qbZ&2Nwzyh#ME^xyC+K@tm!lXeIBCXmgj5>V6FcT~FJmn~QGE+I$ ziry_aI#SD!20B2BJ;#}qNOmFlRCq-Z$%kQa0w2%VJ?$0Rih%QY>1+xX%cRsdzw-Qz zhSR)|u;OyQD~!)du4X>kdj9c4Yjn#oiPesyQC8xfR*mcR$AgBgTVsS?oRRNLb*VlS zTC<{vrOY*N>pL@W<;<$wJau3xu$3V zbGH#8bZS7V+U+be=|;3qjqm&0iS3^=SaT2^TQ;*BIK!*Az3UA^k%W3JiYRFHjeXh( znX$seGic?nCLr;5OP^Ir@pok7ikBU!V@cUrHhF%tYclxC@fELC1FkLY ztofsS1kg&5#KVf_3Mv&M<>mEM^So+A9HxXlz5Kd7Juu^5=Ppj%m~SA~ zQ_E2>1IR8*%4?8cl=u7^ZDlVhcwb{@#>wQ|#6{sKDpqOsH>q-4HcfXI>_+`_!F}$k zfxBcWp<>T&libvNmeLdc8@}`D zvV5rZ#iwbvwD`Wn)maZH2+oI@*f=2&8L{mk!q&D>nib2Ff|J_N6kz4`T7jnBs??mfftkI&=>f>$l zD$5Uxt>UyTpPFe8`?>9%!5S73vCVEf`lPG?nMCI6;sq*5kohB1Qhl*uWNfLBk~prI zQJ1)j7>ASBGC8W9R+z&@cyMos0iJ>J&wey1Rf-zegz5{~9gcb-wooD|Y|U~JvBBw; zTanYhQB;F%o#EAVciOYPiufp4E*N|m5Hp}OM6$UuL4+>560RXq?y?wX!&&A$i}l70 zmf~B)vmAe|g+ly}TY3CG^;P$*H{;$}UxNa&;e=kQqZsSN3=Yc_CIL8HnEUpqVQ&jp zPOw}jzIPbMt+3&vvUf}hNKEern?^~I-l?fXPzTG656Lfk#Q#_-2uNbdM-21|t}CAQ z62F}6ARp&=`Rx|FB=*4f+~RU^WWlnIRf8))(WjwE-+~)RrLlr)&?9>GZaDK$l%xE4#SfQ^mrm?L>QJCmwKxUUTsmm^=?;|_I*eU(`f)TGn@b%J= z>v!Q~_E=n*PzD|)(mUkhS}P;Q%E4J~tR#GL&NSr(wYo}li3xZGGz@au#8Un-8-^90 z(yZ=u->@Ew8g~h|72|={hamu@nCz3Bq7Z&%%r(9f!q1OmX_nPG>Sf!e^Xpt}SKq$h ze;#vxYtFAY{mhb9f`yVXr9G0Zx&f|!VY#M$iG;5ii%7?cNFYUCI_6dV2SN2ei{FUv z>g)7MsChgaXR_g8IlcC4;=Gnj4p=IM0vg9Nj$RG34<BM-63^waxslc#N{`tb8 ziLDnC^Lwpc*Xn%98C|Wmwf;3_$~q zC{b<^CzR&kJ#i226fbUfNGh19{G?>HR_XdLBo6v%1!QLX9dHciy^BqPg4+*M z7`{gR+I`*&3#~MY($D^DW=)f&iX|Usu1D5^?wNvg`inw5>=?20g&BmjIwRsYtQi-@ zdvAno&pTvnibk}Z_`{0DB`B1M(%~ZxC$F|E|>T9E~sAUQT`55Puo*PQ`~CU(s9foXORSz_|0( z-9`P)ZIU+e$fmoy(_Brxo%f@FSm(Q#G(q!VOQo zQn@@sC61TqJ~o7?6O^(N*)K z9?s;4pc7gHlVnL!)TQm7vw1K7{>vARnENyu8Ub(URY8jSjfo7{T$!DMY_k}T8stFF zcPKv@B}nyLcdD8c&`C8y6Fm(w+WH*WInY|H*%xY$f32$zWasZCZcLn<7HISb$!+|6 zfnTS&CfcC8S=oeFX!8;;pc8&+zH}u>Y+BLuqC*(=+~t#f2q5Eav8yA)1}oj3`Tb{P zZ58M8!_0iwky;Aux5uLN9)bspw~g0-UiNK7Wp_fFSU^@m~4tklgB#OVoy zGPp`BXiWSvC3USH25^TnfUc0gDW9`&v1~}iO_{amn9DiWp!J-(RA~LMeK8ncw7Nl) z;9yt359AH@EC5RE2`m*^;BP9PESH(0G6^&^-dgcE*5zFfiDZ;|`O5G3Xk@~F)?UhU zON)0{&WwKFz&6&p>Z(tp{my6pK$0zmC8?%&d6oKy>;g&6w_?}x%A`|&PPfc9#gy1k zj&>fW&nDv^eWSKeKWW@6)Ru*Arg5`O-|CL8N#;~~<>X<0al&){Eovp`b)u@eKfon2 z?uXB(pmG&?aJW*il+W+r$@K>qcBdZkS|+1g_ebV(?#Ob|wNyvS^$L=#v^{&;NSOt8 z0(}nPh4xm&m~iLOtsoIB?F**QGFFT+-epU zN+0+9P1UNNPBB>RTeEg`$yrg|WvgWx+!5lI&01`S9_1kn^Pab z-E%H>B9rT69xd2FE1ptAEz569#0E-^6wB&>vX#=$vz|-EgJ&vPvma;GqyJhoy>w{P zi(DMnE<=JU_q0h8n+kY1t5iX11*Q%9w3c6gNCX-ee}-+s z?`?SP?GeFnUmT=Wdlco_D-Sb7?e&U<(A2XFx5~Lpy{6pHm`az`<$lBhFu*kPWuh7T zP7Yo~7FFM(?2Z8T&|qLt-d&zl!9*9_;*EKsWPzJr#%@k05p75>zV*UG-_}B7=V+W_#qb#$#8S@JD zKH{eC&806pD%`gtzOc(BnkZivK;OV4;lrEVTAL*y>b*gS;Z6D|&#Ire`W|UA<(8=t5^oisTbAwOAfzibXNGdw?1;8hqO1i&@~AwwhWFQmB;#F7jxOGYw(BE=@@im5>qM0O;rkPMUjEWCEvrlz$A^|({u4LJ zQi=)`TfDyC3z#rl1vp%n@nWF85-uqs0k%WiC&hs9CHnT64LQp^!ZB0cyo#8J?L}yVBQ}7L_+2~+lF~2Ld%{=BG8o(XT-;y znP0;GL5a~gXVsZU87iwqD!%;-Z^C&Xku)Fa!0*i;A7rM}-NQPh{oHrjGPg}RMQJ!W zxII5HaCGRpm#Ml*Lk;hHD$ZHII1-T%%?;J6pA~E_T;_4kD6$p_B(qVR+(C9zlhDdS zBv8)S4R)`h>=lN0m9)l!?}EEyFGXH_1jxk&X zbC5}5uEyc^ePjPTd*)LI!;C+c%b))XUIAK2&?)&OMHDMLQ1v4bd6Th}u>`C0`O@80 z|BIMO8)}N-&Yy6f;bn)EE?uq!H4?M0_M9nRH=eI_L@v_MUYp4`RoN+&Wn%}5er!te zzdI|K;kV%$+c8?skS7`6xn8?Czc@2-VR;yi@%;KAT)(Y`;>zczLNVWv*kl%`R_hUc zE+1w25LO*L5{J}{%z*43BXKnJS1Ls}2IF*vw)|shTl74xKPy8$38}tXM@<=r?T3^+ zGmA*gcQ%Y(boy9be@ytb{u~xNyj1%}P@-$>Lt4J}ZIAQZNTq3e;V_R2*f95#g1F!$v;%x^R5&TZKNW}~>f|KKIzBb=F7Z8NJC~I zcT_{@I=Ze)HhQsJ&)6DS_I`yN_a=*;_8PNnRv#^JuHeXeb;-S@WT2cuU#Lc%vmc5C zC+tJZ)scDR=kh3d8A|v+^_(%*5f4V!itU0J z6NbOOvaGaFVcmF*(ZFOa%9B~lL#-$LW*@7*A5i)6LUuf0#NFqGikE9)zaXF?6Dz#W z3HI3l;|@!UykZZ_r7!y{`V{7z%QwjB-xhHZNN+T>75-Y9`?Y#`eRiPd{3~l(QvFLL z{jH!<@5JB%PwY}n4th~F+Ld^1bL!dWSeW;e-`8a8^NsBHlK$i+Ik@T8h#^M~(KKRz z_I?)_`W4Ppsqkf@jtnCk-AUzeVXdBr=n1g=b7y(B0o%tUGo8 z@tV2uD5QTl@_Rqj+8oiRoAlvxUTKD=qoa{tkykN&B5te_K}X}n(4uV#RA+RT%wOad zWzLnpemwsbuK1H)2iHLK%W;Ya+HH9?=F%M+(eOH+1j2_DEZ-0MMQO0^!v)Dk@t`jq9`A9lhFFI9{J!fqA0wJBJ! zh%l~p4GWn+Z;~s-d*57o-*CpksE`X5yNCXIABy-&`6#kIMX53%Q_K#X$a}FYMmyiZ zjTos<&-B>gvbm+mTF}W9zGL+S{|z1|o6Z^AX7D(IE@cv{mfsB0UWvP*oBCN&Jx0xn zHGiOZqos+I?+0PJXv$v~VKctS%0e>FJG6{{DtQuXl}X*gR>>DIs}y)+@Ds*#O2od{ z+vbBUKNvKc92fmK=W$l~9s2`fe3g?+=EP5R>{; zm|H73{Ym;OxO}qulhGEj*M<*ULs>2ktfQ$nHJx+JGLkrMzcyCKYr1b*En5sVwq|D* z)$Pl_oh7kdz8Uc#4?$08s0#v?{48|q*)7zXXFd~+#-`d_r&aRs$)}c~`dGVnh zJJ#tI`P_0zspUAE9J?ty`2!;+lKF9VZAPD@xQCeQFL$hI)NDPHM#5N(_u@q#R#50* zHq&~rLr;(If118$2>zAK^*6|F-&wFj!7eP-mG{{0~ z+y;y5)CF2Bhl06YQ47s+@aS5Gnn6SMQTn)ioyf<5&AW#&#rbQ!I=^JyN#7Utd~W^K zc4tR&wH`Rp_J=iAa04ya8B3$@&syTbR9gD{OBa}!E2@m-2pQ#LnU;4jqiP=AoR|I~ zxn-uA2$CFH#@8z~u^A>!uPP5qaNXHkNNDQ>pKCa)PjUJz3kq3ZY_<92;{C9|{x!V+ zJ%`z=AMj$%-ao&NmpFwjIdF{*Rn*7$*8O(SLXG5^SK5dVR)S}dh(?7>lR=joYU%S2 zzhah7q4%|7{7CZC2MuTyl2e$ZvUwP!)7>eiWL4*a4$Eot#v)1Xlr+=}O_MGyjLn`E z;ot`|5GRf#GW@tTQfzR~H?4cKmeEZ6rE&&&!K;7PQ$@y6t1$PRS5rpa;!QdC7t3+W zOy6eth8gpDZI|Y0mv#00@1+&;8*|%KtzKHWbIiTYeDqrhHAB>E+9matMec&Bu%Cq# zSwF}>oMKGMc#oMEb$FY!gIYk=hkuCl+W?L`nO2JNGYZ_R=cg4 z$-Xp;%*@|_l)|@xcC<4M`Ev&cP3TuA%(zT6M)Mz^AAIlZ%vzDJs;KeQ_A(#*fal=h zBX=25&A}#6wo@{yKzLJ?-}Tc6eVL!6_JoVerkw>N=G5K~qnI@klTlrolxHD0AK}j9 z`xfm;+Yq0g+@CSkLM zW}bsO^Hh=3D-`F$O^KH;Q!y<{-$&^w)wGyPE7)0EpZ%;)_xC`d8YcE2dx_&Oug67i zp0HNVyn5}`lunOibZ=f+{6;cKn$L~A6k%+(KFROy?m)qHs)`?%nT1GB>&JzPgQVw) zzX(2ZhqKJkw`ya)@0mL2jL+z6sd^?~Oe6}UB&s-=wE;%dfzz+UP0P(|6KFmdi2clZA`tzgOT6y^KI=>8X>v;ordyp9me$1p> zX|t6G^~w+TT$#fG?`U3zy?OT64W|W}Pr^FXEDsyY2$jzkt9H;a>rri1A=VWm$DE;x1e-7yYb!Zd(xh&RW+k3vWf|sQQo`ZS3^lVCN29Wvk4*8EopTM)VbkU zP{_y~bko;y3&*j^<5uOFCmOY5&A5JJ$P<37l#UJX%IhS5tx(xZ9p)AK0-(kQBL3Rq zm^#UnQ2{7ndD(-gy|Yw4Oi3uHt`TbyWc|=JVALNsR2fa5=nLl%c~v`s03H8jGp)JC z@zQO8Vj-TXY9B$_B9qlW1Zj#0YH$>Bt-U8j{6~{UeDq<(MYpM{9N+h~V?%qmNS9lQ zf-8Z;th~8kDOkKSCZ1~?HS|ORWI6Nt5Q0AU$*PDKpJVe`UoE7z@^-@vCq@^)8Ln-5 z7V;*a5=V%5NAA{LVSIb32ugg8DRkB<++$tOjLb|p7h$M|-vxVBELS=SknL1xowfqQ zCs#^;u^@kv`3aBB{U}q!Vs%kqWHZ~eOQcEk5sQr%7haX0 z>9qS7xm_WLhI2I`LH(XsQqpxuAnn+2jdIhvra0i0w5Z10$jl@+NnCNy5Q}gQ3X`HD zUC}xau(7>o4(~3a2*iM9j~$}Fp9{*y64H^_bP7>k{|n2Ahtvp=5); zk$>iiqbWkyBB5c3pdpAL1O8dA?mzP$rhk?N5J$sM0p0qa0(|=C{=fiE?!o5(06;(@ z7$ZFh^b-f&#|PtoxIh6i9^3!tasC59K=PwM4M0H3)ABI`0jZDqKSBB-KtFNNWBd0^ z;FI6`pZ5P;0|A*&%f}1^WIyJo0SL%>T0UkV;L~G%8i0Vpr{!Y?0tTKw{3kvH!G|Gu z@#Afu1|XpHY5ACefbz%uGynmWPs_&)1XMrfrvV74eOf+dAfWy+KMg=Y%TES_obb!5blX zGX!si;O!8+6M}a`@LmYs59V({{U`AEr-uJ_)c@&UnSpAs#QHeAV>Ufe5j~Ecm1Dt z_y0YqgB3)$kD*s(}Mmq=wPl1atts}068X@_ksKwn4g0j z3(RRjfBJv+mi+gm1#+DK#)m2r zycFa#VE!HCv|x?|dg{}G`Ad+~gSjKfUx0ZY$Qi)=E65qa92WG_Vghq6kTZk13CLN% zJQ?JyVBQaMHZTW(Ub^gH&IWQ0Fn0hsCz$7foD0mqfSeo5;Xtbf9x&$zIWL%7f}9V` zKY^Sd%$Gs_63lTxs~!O`e*^MYVE!KDf?!?)av?C^0l6@klY&-lB4Dlna#1k%2e}xS z*MM9c%-2CK0p{4CRi`AFOMqMo%w0kL8q5npE)C`jAb$hq2%uH744CtPTo%l2KrRR7 znIM-3^Kp=5Il+4CYZFR{`^OkgJ0E9>~?eoCdVXQ3vz4AlCr% zWRPou`7p?}z#Ij%>Cy&sL6GZ!xiiRh!MqgY|LWB|ow)*Xy{81qIG|0VKA0iNQt3hrE=08Dh1m^glO|LPSzX7=kn0tcU6wJ#&ZU*KnAU6kdbkL^V0?dU$ZVBcN zAh!bZe2`m%`B#wJfH^Fv>tGA!+#t6Db90c}gLx{*9l(4D*!|FEDQdxi^^af!qhoDM4K>Uoh7M zxgVIvfZQL<2SENF%r8J50Os_dE?pp)YlA!p%p*Y_4CY-R4*~NFkcWaf9jMC~2Ikrz z4+ryTkVk-dFUTXo`~>7tU`_$*l178M3dm!?JPhQqVBY!QpjGkz%$fVgq5lUT$_)tZ zuOK+)Ll^n~TfXMQvYtKpT=MAOzlsDxdrAmS55ZX=I2Qz$gy0GgTpfZNLvVo2I}2N8 zBYS&*zBVVDzP^+5J7)_ceG6L)XNz~%7M>54ovr>mTVs7=6DMa!7b8&3YGLuvUd36K zS6|=4?w`g0eJNQ5Q4!gPCAb*sOY8r$SOBxE80$Yj*Z^)eoXo7uhQ?f+rl#C{9ER_V z-?6iq7;`*)h|bDpXv)UH#mUZY0&3>uY;0ujXku()%52We#>dQSY{bcCXkyG|%*V>X zV`|FB{m_z?jmy-K%aql~gadRVqyOXVP2hd1zW@JgR>sUjWS(8`c`#q|EK}yL_sBI} zTysfCWeQ0MAyJYjRHiay3T3E-N~TJbR6?lVI`7vyr}KS%-N*m```yo{`*xr2b=F>c z?X}lld!KXPH)QH3-hGpTf-xH0y zJ#JSl9Cy2-PFE=8^1H?0m@Dk{CN3G1J|dctHa;e;c#^}RxYHSQc>^J5%8SVJfUdZ8x})dz9@PVk-ium5vwBc zuqWVjIGhnzED~}$W08nUeCBgI15UT!A5OGk%6q-OWViIn8S)3i0gpH82zXo}=}j!) z4Maj=uQLRrGDeOHXZSou6OLrR{CW4dC+>InT;WjI8S%Qk5og@*j(gmpP%s=!H1R(i z?C~ZCjPi^?!LeNLCl7mSEuu*(`pZ_tw*^tnADk1OH{$9*xs)8qCA zW&9JD49gffIMupLp4f2u9I<#j>X!#L+^%568TGgw9%t0)^?74X@jNvo zok?~D-H})z5Q)3|9&xO3b=V(p_=16`H{cE<#w7gHh8iK}awiAf9)~*~2!`T*8N-0f z>2gcBd4l1v(-U?Cqlq>Kr-dT}Vxeekgv_cDBjrj7&agk^@f&72{BF0?A^$nO{-`7B zk2u19p9j9Pnvx4*?qDb=0p)OqqT;@2&>x66;t`(_rcPhXnlw6L0?y=s%j0qR9C1$| z9`wW=p_uqC81lLT4yVkUFpRUBa0a}|K36al3Hd$2xJzcJD=ecKjW`?<-)-aYW$0XvurnO?#^Qd7Ux^2IFyINf&7g_GDwp)b5sF4)-bldE9dHDFp-?>T zbp!*>usiCEJK`7?HA~{f7cv|f^T)$Mf7t7n0PuL+9=|UTh(;rUDB>kHV#Lt&a45r= zUhZU1&=(2%r5llm$K{NM0}+4R9SX=ibq2#RH(ZstM8y5cA?cq>W=q87i3OsbsM8aQ z$6`KTM1~?3bRxV)jvI#g?g%D(eX(#b6bt%%VV76@8IYXf@x+}0zsDDn)RgE#;sTlQ zhNE1bOH!2I?+*pSE~g_V0}_dZ!$DU>=5RO|4VYsmsataO z=vc(K%#rN##M}{IBo+^O9FiA2L9a6&h=qJcPI84Dn(vHe2B!@jHC8V2xRS#T87WsR z5D5li{&3JCUY4{OiAP=jpeq*lCEALI1`kd*T5%`)J(7o_USA{{cE^JO$yQE(C?dI1 zhBWHZU^n~XaU?t4QNPpYb$H#rU?>y{I3gZjJRT3lJ;7ig9)tea&}dp{D0(60hochJ z0ZE85XFU$DE8_A;BffAn>XmRy7=#NX(o zgahJ-faH0v!{K)LC7$&vh)dcIh23I-Kj!twM3O;p#3Vc=CS4wfCmujgOjiXAqx`YB z)8UgmAD7u9Yoj9?565DX<6JJ8%n9{lA_GDrV2;xfafaNIU_vs9L`;TFlE2%?AknbX ztK&U5Jv35X>vH&glBgr$px1c%O_sJ$%o%t40&$;AlpumVp12^AK4eI`ahcnb>~s4Z zK4&24lDLhyjR6l!gEIL=iQgYZw@tg;uH=9>C~+Q*$^7<7gR(k=r5Xr0gI;eq9Cl&3 zlA7SZL=y4J5+lhs=8lJAo~Yk1*Gk;Va7qRXxZo+dA~YgV4|tN@A(#B$>5DjIC6sI) zb;Uvw#6efUDJ!K$m1&Yp4X@J?kcGnG^~hovbVPkYf5_|fOR@^bJz*E}=a{siBU2MY zoh5)Eo&KQP8~(52f_L4)WS`gPmz6IfGdvjcNQGu3g_z$hev1V>euPP6 z?ATB^Em0(<#>|Fg_$5O)T)}|X6?Vj30SQe{L>4-^)Grl_OR6iaiHsDPHZ-1|>^ zVq^qyFLidR(Rs5SnK5#u%nzqqIx7`Q&>e`nWJQu!ugm2XJsyPH&{0ETBcw1_1LZO2@=Ib4OK1h8n^GkO{Vo{-u@-GfH%BGrs;mGWpWhqt z`TTB=QzG2qm6;T9#3aSL;!@R_<&{jBg5MgsP3p&q9H8qkeXaFXh2%GQi;rU>5e2fNj;J}Lms0B z56e>EkNBm$4!b;2DaZb+#UL+rq9nbTtN^m81p;xgFd+Srf;J){;&H}w^CGzl7X$*y z-nf)WvJLUOyizCn#1%5drBILv^ve>5{>$jJko*)3I+EGa%&<)oyPp^zmvDziT#2_!E6Pt4PZULo@{ z;+D!F>J7_s6bgtzQme|$mV#1>(Wq4#S}l0o$&v&DQrU)G@whu88QLp-@rGqdjJU*m zx-*CvQw?5nC)O{io&ru;2;xy+T-Ls*cvkizQkP)~kKh6^NUAQc>^A~pf|P>(xGO3} znXLQKpwI7+%0JP8{v!vBkh~chH8`;h_+^%a9Bx@NWrU^T6Hi9GVF_KY*DnR4->FNP zOvI>Rlp{G5bjat3dgC(0vh8q5l_c3I5{X4aey=PLT349zvatz!Bpt*<4xj7^CDF?6 zHsJF}0UDI4EX5_RDMvX153VOn^xUBTvXk1dB zBS^@gaT6H$)H4i&Ja2~OvX%h zWQiI*G$JyTCMW{1o?Sunj0*$uj-M2tp*X09?0O;SgUk?=M0uS<49vdNO-FfO%)6yX84TY_0C zO1aJv7tg^Fa*&eNUq(`E3}4tOCj=7hQsoAu|Cp1qGjN3BVfmhLp7zc-#EA?_9g;RQ zI&NraNLr%ecS*R(UM(V?_DRJkfh)_J)9LW~Ba-%Ip#O)1#84@GL$WiF;}co>JhDlZ z!ptL1l9VTLC~LG69sHlu!0S&A%dv~>p2ai%kSs+~!H0a3$|S!?e|>SJ3t1t=uvFu8 zMB0+|MKVe#;*)aOX7P`22U9xR=$~qy*G8UA);q(QA zlKo`&8;Z$hDD1@1h_NQcM-5FJTuD=6pzIE$iVDh^i%Yif#%|Y0J93%q9pyT#N#-S? z!Knj68AhNOdstaqoKmgIaYsOw2&YVHi4$+oD<>zuXk1rZ$+oifPxi*+HWGrJ$XqXI#vBh%Bymd&iC}q6tz~xZUD?={}jngCk&@GnLhE%tbp=GW|!!kL; zK3Vc*DDFpY;Y`+$e`Y2k?{EAvH^>TEdhyMDb^(E`9e|$M&m)8C0Q3p zNXk|%Af5DtrRH^c0Zf^ z0m-N?Cjvi_uteS|hgI@MNQ?-`swBIMsBD%3axfBf#JyruEQDnMGsULNyd`3LQY&|BOR3xk-dSOpN7Lejak!Gj$}y~fsk>i><#&41LcSYLlW+Cek`kc zz#TWwGR3Gw06LPRK`H8GU6AXHLju|JI%BSQRMraF%E);V$_khywUM#MiG|!Tzj693 z)nH5xLcPY;(C={vrRLV5kY#pAcvRdt5{SD4VW%XEcqD42`Z7wNU4!q@1R1W5x za-=M~X$f)3gfUl0gBCSps_fEYvdxp#Ko)<|Cow9gO;W#jrC^Y%Ps$xR;*Lo(epnZl z>^UqpVsLum0N5>+mDEL&K_$J1B@2dQMuzu^BjtZ`hXD)0u=I?yv5Ea&BI&x4C5iZD z=%iee;g+q2)SglgdxCNnAO)-kuCra0nm7}bIFo~KIii!1l?6-ouX27QXMsM+DzZk( zSxdr!W{Qx)BrJ;MTt~8kTP91yDU-|XmSfFeM0)8DBWsNuIWE;)MI^nu#SqCk4mk~V z%ZZ~?mYuNESh6JK?li{oOc*UM^ZjWktT=cQlQ9Ln%E~*tW&DT#08^< zrb*n$1+pN-WTke8WFkwJFj9)_aHaAH%F^qT+Ae?#(E0RXiS#RJLUz@zpkyOC;FOJ= zq5Ed8L~4ENT!q{ zrGUga*(P^1qXhgO}8L`w6 zA^B~0@td4!Mwpi zk~8Xy1!RiKtsvPLO2vi{ONb|8QVtPhneoW2f`CtEbHum}A`63@N=d$p%0hvj|962e zS#DfO6)MSI>P}Bo&KqREDgXH-FNl@8H%eS!Mx^Wr{jxbTDnTPl$iaL>suWok{c>x< zCue0kA`+3hpE7Kd)F<0V*-yy)lntv}E|YDBEF1yD8!kEjbjm%*4qZkD(&Sc?aSy=L zT5d!(bv7TJl$sjNNSC^8XmoJQx-;3aMT;)YyQX$cY0|EF>isTlhD#%3L#ZJt^5v#x z`mos0q(onm#*C1Ijikiri2B4$gj6G&7)K;Ya@Rsg`Uv@l-0c}50`XyTvuI>oj$H=H zPbkRc-;#OK5)X|e9>~U5`7e)+Cmz>RZahy=sE2W-@eBdJ40+>`ub}>%cpOLmZF0p)=C~W&(3w}?FrRoe zxM{QTRt?W7zg<`h>uS^*OZj>5pmOgJcs_D{gobr{;x8TKSTWa@{s?7xT*gZ@q3kEXF(lL zb6iaMqQr0h3i;Z^+ZdBrzFfq|=%YLxh@0|Vh;J+jJ?X?fkAsgS{^MoXGn07V)8KQ7 z&-?;>4e{?B;2Vg4_|*xJ&yoYLrk_u3#LoqZ_jiHgdH?_7 z;nywTRY`uwPvFVK7p(&K5Ic4N_%FVk-)lvmA! zCuhRj6EF85>={7ZjGJeOf3rMcM$&TPU3x;#PU7Vg&zB}8?azdt&4mAu3IB(9(h#(p z({PM@nf@_(*-UtqOn5`$TiZZ?^GtZ(On5XC{v`3<>bGYy;Y%{%uV=zPB3}G`_+>Bg zq;&M_Q{v5DhWyvWKhkyQTjFoGg#2aV+jTv?Nxa7&kiSd(ls?*Te(7KQD(p1Bjn)igrg5&-ypy zpCvCn--jAoZQm7r2z5vI$kA-*Vg!{ zNIZ-Bxi;~*@&NG)ntxgmzooyT(2IC@9qf-0->C)faN-4Y{ys(g;0EYfLcEUt%EX(* z2kWnzZy|n7<6$rHSrLrmapGsYqusN_XY2T0CH|)V3dC*Vxz|8X&U|<^$MJ1lj|vm- zF%xp-h<`T(yf*PaMu68P{_{%kmc-xF^|UMTH*{kWBL4AN=ow0U+HLSBh+o(Ee4h9w zm7h<1*YnWx8u4kTz}FLBSRCWEo%lzsA%Bo~Sobww5&vHIDHn*Z*Zlk&@dMML{~qy| zj)6a@n>}-USA<|^apHq=LcS7lr`EMj;^RG#Z%F*ZWbk&xZ#6-?J&6B{Utms3C!VVu z^vocBM)^kK#jikq2l2fF(e5eYFAW0!n|RAw&{M1cUQNH1#4l7Qxrtxs2f2F0%hm_) zO5FDe_#oouer7E3jpHEyD)9~)&z}&lunqFx6Yp{wJdd%c%a_@&-iz^bRpQPcz#9@j zruzF4Z|8>m0OAdbfKMV`LD!e1#Al{qT=o!8xe7gph&QVX{uA*Z_k-uxb=d6hKfA$; z5wBGk+)eyx-N$t#?raY`yAz*k#xwEa!=Qf-@te$iQxm*}~~IpVuFK+j#` zkGu(9ybxYZKOEYDpF0r$VK#Vg;$PJSA3!{*75FIPf1ClIOng*h@Y%#$m4QE(5PwVS zpEblcJqkVV6JPWT_#Wc5+d}_Q;$urf{sQsiW590{KerCNl*Wtc&n7YOy2Q8Zyz52$ z{A$QgWp4aJk$f#DK2-g%i})zz-xBZlJM`Qm{+`O0)p=>!-*6Y?8xilT=XI&X>%0f~ z(Zu)adD;TvW&H5}2gJ|l{^BI@VH%$|iAN*QgWt>iFOOXw4||I1e%I{R5GQy|;$JQT zcV)ucWWqaT!qbR9{vq@a%Y;9l37?$_e}i~o-6y`23E!6qKavT*M7&ae*nc$>p1l~} zH~nnN=gEXuB>vdL7{?l!@RphI4w>*l#OvmO{yD_!w}9OziC;LP{UttS6?kqv$2I%= zo${K*^~WR!@z$D`x)7h?gPlEyubYo{#}SWJ0iQ~I^>y%>#Q$jyK9BgrT0g%+yt{D| zM!uF2fAJgW*+jfk9mww_zPVz z0OH}7!ABCGr1kC7#21T_Kw7Zb_+#=ww6F;H*kqyN6>pa>{ys)kp2Z&$#2ljtK z{B@1)Cvc&f5nrnF`d8v7jGM9Yb%*!?Jy*v~#%_|pE+Q<`|~?%*lJ2VH>P$B8FB z4j#{hk0U-@_vy2VPgA~{_zTK+5MQn5@Yx>zpZ(2w8b6mJ-bVKwKH{%wJ=2*uJ|`_H zX$0|$9ie|7@u`!+HxZwu`D8!w#hOpPApZOf==p(or{&-|%iz@<-wVpC5FeuFKgQ<@ z7+r6X) zEXU7Ph__NbDa6O?xOX64q9FA5Chj@|{b|G-ehfZ}_&>VepFsTUry#$AcvaoMuO zBaq)q{Ep7M?}<-t2>GkTi|+#ehxotR-y+(M>CdmWLcS*P^O^^m5U;rr@;!)mJ`SEv z{Grdm=MaBM*Zp^i=hnLMF!AOhxpchi0AUUhXLI1^ z+QdufKBXz~o_fxhLHwGI*F@sg^?uD9;)h#iNi@8c_|(R*XCLut+rhsf{%ddWTgtP@ zj}HJPzGta`AI$z<)sGC$M|`>F&r-x4O$?1mNmYqg>khph;tkG$rw~t_2%buOLo?_f zOgz06_&DObJ<#(U@gnuWUm|YGze@al<6%1a`j~iat*iGDe_7X^v&8*#(eB^GU(YY@6Qr1tnaNL zJ&QE2uCmEzwdvVT@$uVJUA{iMH$Ygi>cSP2)JJyTVF5%DR?Un4$W`D)_x zl*=DtN_?62==4h3ZsVGQ6LLpw-0<@OT%L3)6aJ@iW4sLitW)`0#Mdg%S{eT^?YX49 zgpC{ai_WNmZcFY_V+uC?e`M3G= zP~y+(&*rN+zGnREwmgxI3_T`aVbhaC|82ayW8;Rr8Hc+4PUtb@%{Vb+j4#7K#((CQ zndi8_W4u(;e;fLX>c5Q_vtI@;X5;ns-v&4PVxSf_Zul@>3ZT7>YYCemkJ-2$r6fqI zjobAPv~ffKIqlFe8`mZiM9XMvKlsom5PZfauUqW|nQ7y?B~1{Wu9lurt0B15Ca+t) z1ktw>^5)uQyz#b8-W&@9ZMN}p`fuZ9hyL5}b9w!@@v=w%ZE!PY40OoGb*W4c-Nsn< zFVTeljZNP0=RM<_d|kqeC2!hs)y6edC&&#O*Hn}s_iVh1{@ZxTZcGUIGVC{+T&$BO zKk=^mJYgx~J+wpRZQQIK481jN+|b{$GJuEpEIpTQO8ne(LyP1s8#m{O!Sz@?VSjZ4 z=vObBywUFJ)&TlAi`C!9H7K-si%**XMWaa1uf{LI$k$WEzv&3@tc}~}i}{x*Oh23R z@nxHQ4K$hfzRbpJ+V~n9H*>!6_PaK2^fyiG>a8|j+oor)jVIgq5gWJ1$rm6euo7{5gHzyPA!A4F&p@Y~wx?%B#o5{Wjjz#*KDMY9O~GzC`bTbt8UR_m7Vg z|9BAW?@v6xK36u3_%E8+o*;hpa~S)qjR$P@zhL9#ZG3@^2W@=0jT`-Kq~~mFh@0!h zdK<56)APQK>((^!?ngFmu6@QEdu`lkw|hhQ=ZK9rNNB*fuWY=bji0sgMmB!g#tr>V zQ}E{R#0SQ~?-Adx3v4beAj~}Qo&)mvY`ig0;(G}jH`?8y_d6c3aWy3&&%ZzQlqL_4 zP2SLRt0??ak9fXE6Kay06Cd{)czYXf0+jgP-Np_5L)PNWR2y$U3$@A}jRqh6V-m}RY zcK-7NF3Oc1uV&odn}MGT*mygj#PSahCKx@;LTaYyJ)b_v+<}+&oUcN z`2Qr{Ttj@1F3y{Xm)5%CW8&p?fAJ~tb6-IJQ5%oh>^WoOhCQd>$D5akZ+I2_8u71& zB7Sb#xP4yVvvDmE5{h!=ggzra`{SR9?+@Ad02?o1q1}h##E{{x0z{2f#nH@u5J8?|W?Auyf8tym^FpM1Sw;Ya36u z>A7IzhMwM=@a8q*J9dNLCZ78x@GQCTYUaa2Q}J_N;<@zPz8LWq2O(dM_|$XYHHbTh zgFA@_HK{ise$of|7B)T%DDl0$jT?SQ--kDQ5dTn}*N^zoT9_|`h~I1jo?+u^dZK|R zZQN+LU3IkkjE$EEN_?Mg<0EYRMH{#0+eJ2R=r`-C*K9n)rsr)NA8F(7*|>&&;@xdF zKH4V#iH+OsIc(#GJ@Z?@KVRAS7@MB6Hg2Bv8E^k=d(8+_iB-zUz;8 zGe>T`8gV-w|4e)@Xyb-_-GA|BF&lrvCST6Rb!(A$*ZzC!di0avE}Q&QHa&H1-0ru= zHm*}WaaAiDH|z}R^CW$UpVb4oDDkA#Xx#pL?oR_HzGv9vC)xNE8`l(;cz1@4+v9ew zjZd-ZS!Cn({w}w1qhIE}dkt}~-q+hmd`BO|)knmuEk@(}ZTuOVJ;!a_Xt$FQ81nVC zjcaO4@bfnQY=Yq1Pd5IXjbFF%=WYCsjZd@jtPkJ^!;I-Rp3lY&J0Bc~H%k%USO|Wo zKzzRk^0kS-p!Gyu;@9;#z2?N{#h||<@was_Q;GM}Lzh7|u3Nr@L8EOv;s2Vjb29M` zXTWC?&;L01LgIDw_de{OS1=QziSKXN}!JGW~ z27R31zmWV-zk=T;-cZLkyAcTTWxN>r>*!%sLE=B1Go+G|N)bPx&zV#s9@X z^k1nCJ#}sJvw;%do7uQw5B|PVQc@S<&+9(O{y7PAYNEhA zzR0HMgpI#q<7aK$@Xw+;c#}Ve!F&$&cak5z3G(=Rm>73Wk%>17>Hglh%+TMaDdZ~= zKdVknCjODGyY*~*2~;G$H?{GlHr~d@b!(J(x2KISv&lzne7TKlIT#aWHxAzb`_;A_^qXf*CV%WO6qsizCcl0FJeBn4xeE{@-h3;- zAmWF0pKI2}rv8P=u*a+kP5ziZ_cej^EWHh2))=PzL7m*wNWQV2dz(4Ulpj|Z?V35k z(JAI&MD)B5Uz-JKe zybXLV@zNE+7Z5-7Gx$>CqeI{;iD%V3Y`@QLtf#lnL4G~SmgrJ#R5@dDk!?f2ykdw$k&KSA>4^*N1G#GC&JJ!gq`d=&f=@f6i_g?QiMkiSm+ zy(8c^iNCc0+>4Kbxc-cIVdx-d==Fnf9_*dm2UxxTL)l-r9?tGB1 zPQ14+;B|<<+6nD?iSL*UJ^Xydjyd2dB)=yEye087snBnK?!w5Q2j)V)3(4;df%hcd zSvR%z=P?XD({(>+e;&i&8?`z&~IT*rxX;BSz8x5r`UI^q>3LVhFh`no^bLVN(klRhS1 zw>93_OT2{Uy+g$NuYmk9;$h9hCyDRt2>EY`Z__&P0`YmvAa8$e#E6H#baQ`=B=LoVApZ#Q`C3O+ zCVsdQr~&aGAA+8y#1kLPE^oCa-caknj>He^&-Uk7 zjPdH_h5p_o|50c7Cq%sH7RcM5Z!z?2Y6U)o+Cqzlj@Xf^MlmXvH z{9Y5-V}Gv3@NKd$+wDDfRp=q*kBdYDb(6fVhU5)=e#AoRN)j{G#KZTypi7)cQo-c{N)fDplTvO??;O9ub+F|e?i8t56 z8+h;MuS%;-eCoJ9pZCz|KcT{Uk~c)5pS>xdQyl-{seDH{6-kOJ@H2d z!4F-C?_3G_p2QpLL2N4V)jIAm;ti9b$NZdEb6oPh4nCaZ%Uu8;L%d#g*vZdpb(jSC zDI}j&*C~FEt8Q)RnN9K!YMnEm_$)maT1@=tI<&ijcv5X}e(vk%X5i~cK8MEnM&iqd zLw*bKHwuA&OuS=W@V&%a4g)_#{Gof`$B6Ih3x1Nhj^nq)b6$l!KUbDl*O$vAKU?P` zKW}#HF7(_W`O$iR>JIS>s)wILTdC*UxwO7B(Wz*`gd>;AVR@p@6% z*^T(8Iv;xz&shfYA>vEZ(Qbd@as55zA;cdZ2zmSSaz-95`8oJFk{>++d?N9_`twxc zt#$pKLHspcKjspz)f4&`5dXXd`n#0)%X&V!lK4~Sp=S;8)a~Hwi9e%t<7VR1d!SwW zb9aV+^6P$K7s+Q_g8u!)7ev615O44d_zB`Ac7UHE{;|$4`*V7RJq1<&C6fQQG4xy^ zUeO1Bo%oACg5M;5Bnf`FN8EhACtGe@XU_LK4*dK8@n9F|DL}mF2FMpB-l_+9Y2uwU zKFbr&sdc;kIY7fd8C#*JHp$o0dE_QOUC$MR%&$RDBjPvoeoAxVX=@@;UT=otX!o_#5(hi2HP2JVgA?PRJK0J}3rWhIn1w zk5nZ7h|aI-#OF+co;t)2o&@(2|KNG>dc@a$0G>j;pz3K!d|n9h?TNos5q|4Jyj2Ov z_ay#h3-JH_oG0|eNd9g~$lIU)H0F_GF8FYg&$|J94DmO`Dw(v z=>3A(#E-Rv{Cwg$+k!79UP0Hv6~td!3VHkUrAB|7R03Z|^4)cxvXOXKJx|y|JTw}5 zJ|^zh`)+%QpWX)fL&S6HeY9i5H|Twelf;j-hMsSUFH%2TAbvvE$IHY!X&$&n-2D7; zEz7LuLkbT>T@-^%b~@G76WJf$r>lDzp0)-v;K=C@2|g9L+~a;@Q6i zA4l@p^*-Ix#EYq)pCdl-6u=9_+noiNPkgcFF?F|P&yt?-+e(rjsB!)l@%ef_qh?up z@*4}5e0_))ix;{9@G&j9Qro_iU<5#pUvV5hpt(m!770aa)50qU6Zq-Umf=rZvu z`uxCe#PjI=#GAxd{|xXC@lD#H95C0ir+zYkK2~n=#bGGWvfJVdYl7?J;}$Qi`Buv{ zi=Wf;t7_Elj%EN_ep&LvWPafFM&*Od92YR%ux8$En1|LO!xS-?yB=PN!LVgPI7DK_O6W{kQ z_*~*+3xh8tzFH^5GU7!yLw+^!QJUY@5r0G111N&ur3Da2WW@#0P7h(elpHGqND$Unluu`um}4iLd+&K!?-Pb4Jexwvhb9 zoY23Mc$0|$`-zv+M61nM`g0b7;Fly{^$CD)h?mxcdVzSWj{r1%Tl#131iw!5SyaI- z;$3$GXgaX;jNcBf-L`o48sHk%7JsupxK103Z(I$o$M+VW{SJ5qxYgp_bRp8R*y0`y z1P94?S3l@B+mipF4)ix9`ImKJX->SP#&bL3PrL~|U5J0F@_KA-wVPk}aeCX^;;)>5 z9xZ1r-dOj`dK=Q>d)5BY)NYQh&@+Mf9tZd{#P8_3KZCew&x^!OdlnJTI1c^GiGQJq z>J8#+o`U>4#ILHI{Cu^kXFJJv`x<)o5I6OIO5BXAW5mt4`kJ^ISNt5c8CO4$d`sPD z{X)E^u2X*!KdlAM9pXRaN58UW#p{FeQc(U|y9__`^U~%zRgmOOe-Hqnpr|@31yM*{eP0Ts)wv``h zYNF-(CzsAIu76TyKtWE@Q=$<3laF}Qc>qO-&nW>=n)q7HR~3lI^?p`$;-ilNsJT|Z zj(rI3C;6O102&f+q;*Sk;(zMKx*hS{dalxic=AK=1N-@GZwRtKyL5$oancjl4FsP* zn4iPK=MMqh5U_u8Pk~}}tL2}wx_)`7-J?3bTxWj05`rlt|I2Iub(5vPU}N;FJ;|@Q z1;Bp0sq0ryl7CS*e5u6CYM{l4_tAmk`tt+bA7zmId)hA7pOe=>a1zPKwOy`1x8H`K zy2J9rC&l0gu0OL(hdkGxjc(AWfA zBIoBV8t1%zJ*|n^kLE3V8rBEk^~>!;yE<%^d|&yW}rze~XjgZ2z%2;2fWop9AOk%%UCQ`0T3lg5$Hz z1nA-T{Pz`b_CsqIILBxGn&2Ftm)`;Bcxy8R@xbxcQrAI_x6ztVIo|f_dd=~6^Ch&) z@wRw1_-MRXaq_&zo4Ui|mo`A~8IpfSJ351SEiIH@B>r4W^mh^Q4`%}`CvH9mro(30 z^PM_C-D>gb$xyJF^gMMDU_0^tqX2Z;SbBbH3;rp|fAul|$9b9J=oiO%am}k7=db62 zJjZ!1HH7DBF+EV{dAeEs$?mQz{MRY%?Y1HcPDNUSQ-_BWp{-%&0N@yX~lK9te z0<i~p_r!F{ABn-)Yzh>y_UTmORi)};Vvh}ZT2Xc=m?o1)`;ndEa< zf}Ot+zcCh?bRfd51MY6bwWFL|`S;`QaPsgN&1ddAlVC{28AcC^du%jts<j7S0c3*?MpY#t=!1Yff&GXGk{!`6|ydG`RLYU|25hoPzdX#n-JVg3C9s}U@=<5dX zLpsU7tosFCkGd6wAkW8Lx)AYtwCXD4c|9tn6PMSc-5U739^L5-JC~E4zyAWj^KRu! z;JhBy4TEncJ!2k&eqN7y>bkRsCeN*$M0q-V(y=;=s&yY4SEEG#`w zYXZ>n&f<-Ap@@>6g(IO~k9RHkF5iHUAor&jOT1}efDeg(Pypa#;^y!3?;}1+=k*cdDSAHh z1@S6dVdoj*>;C{hPkg^l^vlG{e+Bv9h!1Z9aFcji56J&R{K-$i&CkPlP<|{Z|NT}O zJa1OKntX)|KNlumSPQgL#M^5fQl9wmqAI zZ$`Z63*h`YFmM)P&+pf@1^r)8}S@k z5bY*@R`WK0eoNWj=+{w_|3KS4N&M@Iu>U*abv^>WM0}6tx2wdTE(v-5oR*5!!T%=t zLH%H7mTY+6oJUQy5X((`R!ztkAl_W(V=?0QG(Yp_u{`oC+N(tJ+Z%z`Bj;76CbYa^5?E(&jVI}Z61#3$*xf13CYF|>P*_=vvH^AquE zdJfE=i(=O6f06t|jl+AyAO0KqvuDSvIq%$$;^#cXFBOBGg^1VG!mT9n{SBa}9PwjX zSXCt+dl34Qi6>=1kC%AgGvM`!w`mE^pL0^-C-62T?ZcM`146-HwHgI@;CI{?{ngfbR54T-lY!o zd`rCREpYqa{V`Hc%~Ig}IV2Bu0so!!wEYnLHt`W!hbQI0`{w*wqWAH05ieN{a`}np z>8j@*El55|xnn`2ll*Ays-;<`13%v_lEo@B!4#* z@`s2I&>P*yiGPV>MT81)DOjpzn~A)mm&UG1=z1? z+R`&#$5G9)_+nj8HM}g|NDqX(q`zoefO^Cm&jm;!-c#!YT~;mq9^Fs1C;1gE05n}% z^3h+wdy@R9Z2;`&Uo}6lpBrf%l9Tl0yaAAp_})JOiV#n02tVs^T6X5p`zaMjex@EQ zvHvgWKG8w)#We3}8nyK2(Q{GV-dOzQ`B2cD^yJ77JKGU2*BOBQ+-V7TFOu(}>v)K` zQ|nAEqb++f+N0fck}s`(7)|_o9P$&0SJA+KhIso{05gaeeiPtD;=7yxJnlm@pm^M` zmw`PTR}bmFnB&TfH`Z_3&-zV!SpVNUU?=N8p$_K!@W^<`bAEVl3OMJ7orSM)L5XMY&V`C)%A zaI>5;^ZDzAp*TkRhw6kLL_A5?&Edqiwt@aJ#0TqxP8`p@^}Je#$MVBB%b{Qz>FJ{K z94BKALXhJmrgbF8$zVMP<2cFtD)exi^qd3EagyE`oa5vHofjM@RhL4ZJi@gBMk>UPx9 zlk__T*OB~gtz$P5@9-AD7UIw9Il#xnPxS-XOMLPPfJ4Mj>V4j0#82z}2%bl43P6zO zQDKcko<}jwZ#<7;LBt`?que*4pXX7M&KI6X+bbgct<9zF66+U0rlg2o%qqslY71>%#> z09+>ix%%fC@t-;Y+#r7Y3cwxWJ+lF1fmxQFV{~29V<3wU)_q?-l7CX;MAL^QUq#nh zody;kruUKc_N2w@JE55GgRid#uD2yD`9N>jua{Xoi{=?W+1aEoFHQo`bY`{tr1mRB^530@ojP4D`R_}CYnf&7@{faOke=)s zx8sO!uLSvt#Jh#TrxGuy12Tj7d954g62DvzdKM7>WHtCw;uTkduO!~02EZEP$JIaU ziQmw3`_06U6#&>qywXUtyNmcFosav8zo&Kh5#mk!&~t)#2PgO`;w5zhbe8y9O{kZM z_i6||SBSs+2>5m4t&4)+Bz{8o3-^eR(FC6@E3Px^|He8l{CkMG--TQOl6PobQIvRb z-Cy(HZTYDc^zeOor=HJLB|WQD5C7ek&oxo;?(!zeBrkMCJ^^jL%TZ6mY&aaq1JR}@y&54;Cl7*GvM<{f91UZi-}jO46uUu z`7{8oSKrtLzK-PA>w?eq>f{X&40y9gSzs zSG%>2<$SgEU+Afh7i+w_>3JRJs|!70C+DkGs<7r+tX+j5{^Ul6Z27C^(=YPa$^@be^Zey%#_pAI^Yek1t?8u&Mfmw5s} zw*gkWqqV6xe#x*y5s_y+dy zKDVmQcWuVf^I9?#j3PbLwC;M6_z9iAQ;65lbzwSjk0w;!&o;~pI~S6CAq|ja#2-xu zSWWzmrO>mE_@g_)HxWOq3oq|myX1u6ZjyIwf}VrSb^abDURe)FP7<$O9N;_R4K?ra z{xkou_?> zPZ|X~BgAi1M1KbokLbDaaN^Pa&@-0!9bKm;5+BeN`ky79NQ$D0|L*4i&4(|M{LujP zEGFJ!7wmbB_}^)eUqd{r7Umm>zovPB|Gww4W6=K*$v4tMSd?S*_Y@#DI_c!__%2l8B3Ki?0W>-@X9!MU#P*bSWP z>UB53%izUYkB-g*s7SoJ_DjnPOTJ4d2y$KBN$>Bl{R=dou>JGOK@ZzMc^Np{Us2N;C zLZ1EBU+WY0TPYncEx)XBEYTWzD&xiCM_vM`MZEC>0QSRYSHRiM$S2@zXXjtQ+0N;; zFkU>qAL+c~_-wlpdN@8EI`23>U)J%}x2^sb+6g`N@M7`n+F%Ot1wjDb_gyIruESvI z`AYZcnw~8F#U;q|Ih8}#{ZwlAy5`Rq@ppCJ4ITpdo>$L3wh|BMxzjG< zPwU3(0P!Dc0DMloY+nFfuB?7NsR66QY4M&-A%BtdT-JTx72@Th0KXF-d>cStEd4i3 zL$cs~v!3~KD1O%4MV5T=Qs7!%SUf!&6zKNA;%9ZfKTP`j&4nHCyEZI#hdBG;{0<#_rKh) z%MmDMJHLMC{&v2a7Y<`P7p6g;?YyoBDr{#iFZ8gTO|pPkdC;!e5&Uvy)D{#(}^Rj`*@M781OY_@c;$Q2&FN650 zTL9yUd*1_?M0}Cf|2*#Ixi^n{vF7kU$7g2GpJ(=*@SnPV6(M^X>qIY2yjn}NTY>no zKLI#SKGypJn(i%s#{Px8pY)_o253k;`XfMd;>Ugg(B<6HpH&BnW+4TS~ zMEoxm)H1@-U#lAgbs4t!k2}Celb(XQpiLmYrUU%>4DtWh=g&EQyqY*Uev&^%gDih_ zH8{(!)OCd8ZL`*gtS5c<{q>YD2hQ?M_1uix9oiQ1+-`%@;GExXF9qj)$7@~h-|lVA zZ`|&`>NjpTSGoJQdqz3u)x85TzMNNI8U)VsrDZMX=e$}{@0)X8z0eQxoL7CHfT!Ta ziqDxk-#M>t90x(ps~sMLoji{!Y=b=K)h;?NoL74i1;zpKb&|wH-Ijy zR=+CfJmvW{Q_r=gke-yQ0Mm&7xF29P@qaX)=M#Tg8`SPu_Bh+aZ!1W?_%MJsh~Lx% zu#WhbivTtfU#1GS5MR<6K&Q85&+ZN2drAJ0HvtY2KePkj81duk_>;uXso~!eZ=wT! zf%tZflgq>({}A9B@xm_y+#r5l^VJ>Zdfu*Oisgq&{UOM8>`*N{b=z#ok5bO}q5Ay} zJtZ;Bmi&7vua6~Jyx}g$S0?$suYuPjUPtQ$C-KL$aMs%omj1o9A;|Zq%BUdUpE{Ej z@>+ISdWPw^^Zlu41oE2hE&0piVL#uWnz9O9%Rx*2JLS4fvUqD<$8+E^i_cTXbAJ9o z^>BXfrSq59g_m^y%lcg*G|2jIod9S3dC!8EAUktw-SPF$*bFvnh+nP`LH$d5@i4$CH||%VRz#1F93L)xLHreh@16{mS2|rW<8TZ z^5*Z;X&71Z=I^gfBKf(R*q$eTwmtyo?LC@rdEB4WdCKEHVj}dg{HeO&EWd5p{pC|N zZu8=8t6v*5k+c5L90;=fubMDe{@KQuk8IC%jem~Y!S6yp$8Bw`&pB=f?SVYUZL#y< z9Jhsg!5)s=J9;CX<95Zv(8F=tO#_YN*8Mr;Ic^u~^HdzS-L!t=xSgyELJaDxaXF&- zGbi!Bn#l7JpQsC35#r|k=F-FuY5mOOc%>KwdE6JPfrUv=oeco2=aaJcj|cO4-$JCP z*>eCTiJ#YloN~nTeFjjK_{Ez5$;1bz0C2tfxCWX|SIht3XuZ^w^t9U!(1!Q}!vWY2 z{+8f8FBYr?=Xp`%1@Pi{vFw?306^2F#pjFwuSoK*b^~C08f*e*d;UBO&i2e&49@l} z*ZPp{iGK)rwx`B6@alN6?7uh|fbAU75b?utI9m$}j>8uEpiL>#U!~*y_0M*Kvme_1 z3eJ8w{TDdGk<~}mj8JTILBcw zjW>=%*DsLgI6PA9{`$ZB`2PAcJAZDe=KyR^FAYGp=gVC8x97aZp}NBwmp?i|p65kj zU8s3pe0vA-JTG3$TIlC_aeOs+2fSGJN7`UMYI?PJ##<2V zL-LiiFpLoYrYgWd;tO>H$MNsF0l~2(|5QQPGm-edHvpa`zEvmCEaJIZ0K7!JxCizpGWKSk;K>Tfc__l zkDLoWnfL@9z-h!E(fep~h`+ZAdKM60qXp)x#6vpYR}ueLt98h^@r` zXa{?C5l{Ud`~dO8|A2o^{E4#QUlDJt^~1NspH}@BiNBWANnKTrVe-X{K! zIYG1H{Ricxp#0Zg=V>nD-`0d&e&T;>BIMtTeE2uWKTPu5dVp6X{?=sh8pJp0^FL1F z&*_cp0CD#*=;7agT(0|+79?-ZtMHXR zbe}bb_`gLkfKL%GG8lTM5_jl2GLv|bAoOtEH8v;oaGjIc{YvxPiR(l2+)2v|E6D#iifEGAqNPa{N z`YRIOmIBW9o1a@(hvcK#Ag}4kYWKYZ5ajyp+gji$r00k304<5n)8}b5-B|j+9)Nav zU*lf^1?tsLappZ+7@?9Z-)(JuS*o*SI~`G)2__UHBU(9iyi-2-QT=F@eD z{rRKDLkcdl{Ij4a0QFz?qxlgPb2=1K1Vc+ zc<;*qV~8hfVe%C5Y%2k#68}o?UuYR*+4JIN2+kww3*`*kUK-ISz~7yuY6I55gYS^Rw;~x!uy)p@-$Y)$ZT!saDX> z@jvSi$aDPP{0yAq|4Ch!Yv66mpPTi()Jc50?l%L(zo`j(xL>=fK#=NoD!$sDkU=XL&vp@-*nTg_uUuMg-1;(7gvwwnTVmfxmnUgCMZekTNZ zUf)DQnCm#}sov%O z_Pjgo{`UN<@;vU7^&FnZy?=S=;cX=k&*Eb0uM*J_$!-I%l?*=fO_~#lAV~A(3 zfPPIN-Z?kG6yjx@0_ZSX{`ul<@Yy6^UdMMn@h+Or7Zd;KD!>Zj>74-HApX7%$U5S! zhoN5^iPvohzJ>TJ8dsXWt$q#u7J_?8zEm54L&P7~b&%)F5*OO#c^6&{1w8MXHv;GR zlJh%oo-Z48pUCs2vflUM`O;kV@O&Bd5%lwXd0+Qs|7*Tzz^33b%Wnttz5~yfzx@#8 z`Le1pIM0{CdT_$?rN|w~v!7qogDCd%hfhJC{XA3Smi_$OKFG75AFG0KWIrF$b)5a& zRwo4ed9}_v_VX5HWXKIWHBc4nI%8+g4mXtOtSWCX4&qLZ0)|QC;6TFZD`?VvZk= z_Lt-5|8{-cw;uXgPvJ}89EV5W1?M!V zeg2>4brnDC=Xt$p6gbc8xo+@5c(MF5SL280^<5n=p4XKs!hW9Dy>)%$d7b?r8svFB zRO`vvWX}~n2bfR1kM4IC6MseX`3mBDUIuuBconr%!_@Lajb0GkNb-Mcym7s;Ydi!$ zCiyKo?t6)kFA8v&_?IsNoFKkM@~me? zgZu0GUODSY()}juDYyIndXD^Y|8}?4gPm;uf^GMg@7VhO`kSr?XFJ>LddK5lvmE4k z+~3>_&g0&_J2;Q~Ru?#r`}8NkdEBR02Ct77D;`2xmo_EdwKn{t+YC!SL+i9oBp=oF zOK+1{^3Q97oaZmS00nW<^PS!p9724+e1MU}yJ^DHZd>ghDhK-~ll((!Kj-sz%Rx}X z+S1cF06hyxPgxawmAJY&X%+F}njkf8S^8@}0731B#a|u>zLoS;a=@M(#9exSgyT7% z?q4`Q4~C$JwP8e@1O|u zaDUJ1{N?_R?RI~^T`UaF`M=LD=;wB~jss`;{d!)_@~t$_vz@00Ku;mOSmS<6Cj{$h znGQkL?&mM;T99O-x9^kmTrUfR) z)o&V599J#1km0zhyb$ejzjimqxO2az>;94ZHL*YRaKBb}g&yu#MkUB|zYb|#%KiE? zH}r779&tkt_bX>}aGo!(Rs~PNixpS@tO4NtQ6&dB`)7d%oc&XJ7C8H7rPhJ$pI$Toyzs-3T^6abRtt6I_f_p8u8aE_nN zy8d$fl(-Ffj-RsQYc$UmWgCYjItGJk~Bp25HUoA zYRbNqqWYhAp5OaA^P6+8d#?K_=icvqp7-~z&-;F?c|HAd38}kU)e{4xfpX)(qUK>TzY0T{+6KjUzMIFjt&L_Zlrd~PQhUlWK2j}%XJCgm?j*_bn$rB22();@fb)&^qG7(GNEg@4rC+hOw!)o9O?0$bKH~135^1HLhw^EvegF~n)4NhciF-y2gpT|xwK{l`8aoa?_8&MSGJ--#}_d!aqTd0focFPz6k zP&?t_axm?(0`aHs~ij zj}O@_hCGk|1ABBA(~b$qIOGk#_<^MJ73ucJyuXF`x@f7-oy6}##qT42xP*X1#9zn2 zIz~JM*V#`IfA(GhzY(8^cDz75IamOy)s(mKpTcjFeUbMB;ws0n z4%n~8FfjQq*;8~mj{VU|ILEPFC@;scyAeogi4 z&%kl)OPq&t9P5PhYmQ?((4jbvjSCY&j$^A3e_|;=`zs6JI5uIuaE@c=pA*jQcqv6V zw_{L*aBjz<)r509M&SMvPQMoBcTPV8=W%SmW`T2ly#3vu#b{qnzb8&0IRAfllk{2l z=u6JJ>#>mGbY9r&Tn{&z3+MiP`XguEMp$=o{)Z#Lvu-I|ALsh7_=B^qP>+Yl(Q~+; zipSBA*5bkAX!^gxc^t(9@Hje)cHwa}1O1K1(Z`1*eI7^iu+ZaiG-aaL^EfKLTR4xS z5%q<~%E82kk*I(^#B-(!7(o2dzXc24~IC-J(-$UfrtW1WxgVsx7v6hmGQMBNa6iqaW!uhheD#QS1i!1QL)f2Y3KBYYd) zqM#%I8N;vC5PNKI89wO+vCku48-5Y-2ixL?x4?BeEK>~s0t<@!D4nr*P6W518~Y+y zcU2_&D-TP4aCyntKR-u!U9vBoAOM%`jeXgLV$Z+Z)*bUy3reRIGLGA}Ogh6Wiy^{? z;k$6YkIU+Yhhx7Q+cbvfEGg;4knRwye|i$1u}Sie({+>1U%v_;MD`CnC4lFV?ilDC z&)fBrbU2S6zr zl72YxwFv?^o-Zpb9P%ce9VLWwJbwo5#qs^YuKN8ILkzVaWj=Xm}suFG>g{}UC)@x1p@Nr&V4YV@nQ^0leAYKSKbh=<^UC&%+i z*k?uBzQ#J4$6YYiS3K@| zT$coR-2I3O;BnXDQPB-2-GhY%@VM)hU+j6@jj1N~?J1oJ*l*!+=RsWJaW@Zfn8#gt zG&r}*%i~0l+vQh0-@)y&2l1TSrC6|}!|k#e70>PR?xSMQ?J^qc7H*ezOU0hsrC%SZ zPi~hl(O%pxGs{Xk+%Eevgmb%$#DvN1vJLw)+%7*N?s2=6!9>mN(h=*KJ|b_%*9DY| z<6&})7;-%P7Wb8LJj}66>^UBW7Z5&ybZ_Jp!11sn_Rl#UUYacS91qu*5x#)ZZ?H@N z$HUxLg>yVi!?;*Y>8yBPz~{toqFp#1rlX&4A^R3MpTh7k^*;>bbRXGY+%Dh{@d`l# zju9W)Et5Mk8V*UTe^f06wodf{oywhX@nfXi%#uhems zbYrpZ#q@9NE1i|}d0jf^d*QhJZ|uKsD+%|a^gCS;dn~(+{g!uy4{T^osgE;$(8VkN{-cMCO~RcOX=@o+pZ++OU9Q##ks0Xd$hrimfP^BuUa znB)0}E5)AUd8;YHIiCOXkZ_LYp&NyV%fXbl{uCkm zWDL-f#P#*!a>VuZV%9x?3SeFR_l8(k|GgpB)qih@b@ksH;`$%+jI=L?u_v4k1{c7lJvFCnO9p{@|uBup{bH7^gmZZb| z>HyZ^+^;5MADjDCWNE24?pGr)ei8mmy&c2Cnb!$T21$N+olqX}f!7J~!^J*U4krB- zn0NXRKY)NVfOr!2J%$mVoL9<)X~v}AXpDPRfle3*!q6OX$lfY%96ofOXNgz7k7=5@kF>_2Rw^xGkQWXsfB?+#+P zkL)|4pBy6oz)%6lh+iHd;1ux-=qJAsKZ=fdfp{rQ_{grw=boBkc!TU$U|)jw!RptR ze!}aAS26GKK3MK(NtpM+=Ab~l54I#x?0FyTv(JQM+B5kta71`b$(G>@5l|ZtKb22F zGvfUo7l3YO(up1?>GOV9-B!XQDV>LKzKQT^(uv1@;EQDc6DEXM;$5pt`hAHvLc{XD zRz<|^m&pFP6_U=Y#M{glKA!j+=$KQ9KZ$kGEaDT^Njme0A3q~}5%G`FVLu}N=Lxa@ zg!pN+%_Njl=S~2{%#Ecx$l=GAMTj>-hbl>YWm&N=M|=QZkp#E)WK7e{>R z9?5@y;x|w~Ly2#wD(R0T{%%!CXDspl4~YFF;{Tz%(}_35y#EgIeh9S5#LxB<-6h12 z=Mvo&#C6>Hlz8*ClFoYK6A|COCO#kQq8-HR^cLNH#E;;7Je~M3Ozg*rkHft13-LqP z_d7?tOseGnD)Hv+gx?^(zN6&x?i}*H9$$rV;(HJAGDE~JnD}|zr&^r&bi|qai5JCr zM@8bZkbVu~yAfyV6Yq)oX-fQi%xmGqL(v~1h(G?il&cN#7el3fIuS1!C;SECFYJ(X zx)U$@iSRzeS7E*wNW2X03mQ&*`gTcYH1XZI0p|_k7jT|Fh4_`bCI2&tw{I-zzf1fB z#FO`lmzXT}9}-VGF8pKSVQ&ggC0;FF_*cZ6e4SxBi^sJ@QcJxLibPNb>@iuzr?#@Jm$(N-|KPrW?T83pZIjFqY4urGezu6 z5KqK{t1R(w%okON_d@)yO&r?~o<_vOKNZ~viJyO3cuV55e-hq`cr5PIXh-~YoKHPV zd>iT^nt0>Bl73I(oyH1}C%zNw5dHgwy8fr&d~F2T7uX=_j3HhD{bVBX)PZ9E7V$}l z4@tz2pnuFKezS$7vzYjK?87f7{wek=)(~HEkBs*(h_Apt#wOzV&WrAL;*T^GzL$7S ztXB^a-;Vk5N8+O}et#yup`fIHmiR!N-(4YI9{uNU;+3$@xht2Xr`vaPC#i=Z;`P52 zyL*Xu?=HL;@f>-CmnMFujqnP@Jt$Xo;<*r4>k+@1Tk`n;@%}hZ2_rrM`zntUZ-ROD zY2vx3OFlaie+uj8=ZSCcDE8fmU%f88H}SE9g%2RUc$x4-;%m!Fd0!zu6#KXO{#o6Q z1>?p3O|n0LeXtqC3%o75bBVv*Uid=dlb;j5l=v}>-&Mp1sf<~#&xkMnS@;IxpY;^J zg?KF1IlG8Yd06cC6Yt(f_z~i-p&$N4{OEkK&mcYv>*ou^w|9|x{)2e$3c~*(-VfI! za^n16x7THqHy`mjl_fv_BVHZz?0v*{W8MiR{=)l`PG#b2z87ALc&Vp^HzYnC8yL-r z*PbW#Er|d6hVUneUqL@_OS~xhc@*(QA4)o1h&Svb_1uH_%ZT&+i2scJkio=jVV(Ih z@%q(7_ch`hUl%@s_&d?UrxCCBm+;xdcjcG-zejv}OW_|7uZ;M!jCfE#v0qJm5;nBf z5#Jgj`PoQ(@_WL!5&seEz&*rg9v1t9#Gl1{dW`rBZ6u$kiO;}&fM@(pFDV-;F3us3C?TXUh!ilH$6#h8z1yRDW zj4--M`Gg~U7=9Y(9nVrapWwdwF2t)X5D-f|wxxhR#FwTE7(l%7#{z~CAAt5n_ci&v zg7c{{WdBBllxqUO1vHF6XC$5pZ|&&&L#Wd{|G>_jr|#n!zE;Y;F^Ht#DB-U zxtjRXn7=+JUT~j)uZZu*e(x6Iod*fnNj!A103I*nkP#j)m9ReK@iGDZhsVol?AP&l zxs3B59xvz8BtJY}_97!ZUY@~zCXbh~MR~bD@p3i4 z@b;8WM~n*|FAcGN=J8Sr>vkS5tI=M4DE)F6C^(q>H^PNb9xvlDFYtKz1?x#3FMaNE z9xwYQN=A6Rq$49dUfK^4dmb+#*jM54vNfmZ@_6Zq{XiZs2XMZCZffcw-~FP?rM zh4Xkx{ZcrOm*h0zJLO=~pN#Rck9aJ=A>tprDc~6KzV!v1BK~d;sfXW)Ka2r%f%u$N z0uWYAKG(GneuM0zP%&s`W8V<}B^_)F8lD&?_776Iww4w97R1}n6RuBJ^m?gG zKjAn{GU?B2Dhc3nl;NEVi#?WihChMx${5lefdGJM+t?RfBkABY((onNU*h`;cC?p- zhg15C|CV%KA-(|>Iga>v4D?CF^P?lYMLZ|Q(QM+Qy9$^`d{0ry&-=tLv=_dVczdif zR}$~APV7G=zI2@MFNm-GRQN{Xuf_`4O1wdW*zYDj82h68iN~dh{bAxovCcV8eAfZ7 z|C#v6oWjo#FMnD1CF18X@BB%82RiIO#6LzL$wAju&qPc5x#VE_TSzrY0MoGHt6mVp zLS+9|DY57EKwiw72xlgpuh71{9@vF>oYw<0dWc|c(rtve*N}L}nF4q{upje6IN5Jn zA%NEdQ_l+L^}y)f!qI(AxfWwW2%$o~H*HcCS zs?Veo{td z=#TmO7^UCrMFFRXzlMDBdSEv80Wge>ZcVKJuTeT<&k5l5Kus(Jcs-C_N7|9s1MmM& zIHm!k`|x~8AI)s|TLpykdf?TTk`Av2+I%neydD_ztl0B@- z9;n%0zTovht$e~c9^Syb&+)JV;w{I+-bJNc91l;RgK#{|gZ-uQ;%4e|E;=&D!!mWn zkmKQ>sE3A>&e3-Sa6G(%coI(b2fh@*@o*p7_bIYp)JXux!+XXF=Xm(x0^waKoxSLX z91q__|L1sk0&!pfrL!4vmE+;v7>^te2cv^MeL&bAEOvbu``)41lySRUTpC}pO{<$+rIQP$1&kN`Nxw@@z?w{KcAGm*Z zz`)}ES!BDU!~L`9aN*oP2Q3oL{j)=5;ix84ZxtRB&i%70)+gLQ^W(Y(_s?0^BpvRb zTT%bqKOaCnaQ~cLOw!^0nYWT~?w{*1zi|KT^Q72w|J;mupZn(|he!{?Kkp1J}nBGkK&w#&2_R-+)6YmS2LOcHiG=8`)n1KTrH` z@GHcFatgRk{9f>Xh!+RPcC*P(D7bwctNKq3aBRmIdwpMEA&g5suJ!$Y*d{jiosmvS zvhN0t%YMebFSvbutMa4o=fi2XvDfrYk|K)T;Ja|mUt`JPaxh2dqTH^XXF#A56%FlPOPb2%I;9H1a1m8hCM{X-I>$Qitz8~uV z@xrjT@4u=1lm!2g?8}3nB3=hPgLqT$bHpD3zfAmT@IQ$^1AddZzK`lI%)>hV==-JY z&l9O~jX*m2$bKAnFmZjKQ&Hl{urEP;Id~c3Yr*yJvg>?)32uM>Nab@Ucr8k2KX`rO zhrpW<{}KE_;=hAGLi`W#$BEyS$I6uTvOlk+@|hRBE!mdadR{-Vi*N zcsO`(;vK>5&o`-j_5&YG_Cvw-x=**u81PYK{|5LN;`+Xv@x=9gId2lz_utr`hf?|6 zfb?gR{Vwo#iT?<`fcRPP#l-&s|A=@{kR@)tRuL};ZhwAC<+C~XI7?eM}hArJ`vphyp_u5EbwDwKOg)g@x|c35?=v+miRjGOT;&V|3Q2&xc&Jo zmCqyK9<1+lKRgMZi}>&0d5QlAelPKR?y*Fymp=c{=?8<~NA|_R?ayQs@lD|Rd`^8-`P>J-lI%}_uOWT`{Bz>}fo~w5 z4;zH~{7;!F-O}LO$i61H{rNRzuYbSjJF+@ip|N7u{$^Job`}1@vpO1q#Bm0ivVZ>v=A0<8j{7K@Y zz}pai8@vPYh2Zw*?^Hh5fWJugo51z?x~_+B!Q;sOAh`a!J=*>x_&~D%9efz^%i#9s z^;ACp27i_8g9=z*TCdlM7XzO}yaM<%;`({FnZ%pHeh%^0;P&VHR6aX{FCzOM;7f@Q z1Ybe?Rq)lsCxfRFp9}sa@ulGQ=K)ndKLOuL_FsYTBEB1ZAMu0W2Z#rGQAE2eL+m#k0yS+n z^^55huaxT6s%uY9Vf*JUkGF2~NO)^YVMy05Pjs<;t+cwt3>+9UJTp&LM%oW<-K0yG zUj5ZKNTYjf*C9QvZ1w1GWk2(MOxLahV~1w>x^Mq(eR~ZWtcwM^fidwtW3#e>@jbH} z_KWE@&`LeCM#QymulQIkXO&RDRoJR1>vOmM{rbhmTX~G{KRCA5<4Re#)UtAR>WiX|I~$l1&92vb>WZORZizqy0H z>eK%E>+3f5@_+8aAGg9cHy7y;J?L%|7i#R zZ(R6abnxHm!e3t(ve(}>7ydz5#M}M1yYSb)LudEj;le-EL4T(U{~8YdyIlC|>qhqc z?{?we(n0@Q7ygkB{(D^bKkwkL))fB6Km9v-_VVv@;jgbN+5NwB;Xm3T|NC9|Pj>J> z;KD!2!C&3;;&1&ea_~Rs!e3u^ve(}s7ykNp{OtbeF8sGS{{s&G>fRuK>+ghv z{|_$w^>rzG`PG`(U;XP2`o~=O=fr-mUH?ZH{=p9Z>K-b8^Iyur|AY&Fecj5Q|DRm= zH*nBD>B2wE!T*#C|JDxvr(O6*Ir#tV!e3w4ve(})F8mW5^nZ2XKf=LZozwZ-fAoEa z_Wb|m!heQ?{_igQ^>r`1{uvkk%N+F2y6{hR@IU9mf3t(Xx_8mv`rqr|f5C;nzAk33 zzl$#XGaU3Ux$wX0;D6bLzpf~I{?$F6{?=c97~1{So`k>t`ns9j|C$T`3J&^zxbUyz z;Qyx!|K<+<*IoEWIQakN!e3ukv)A9>F8re%^l!NE@9W@y(}jPcgTK0G7}y-W?LUuo z@c-9^zrOBfufP9X_`mC*ulCgZ&3}r6zk0^cUw{2Ooc8>yd#nBRPjk@E;lf{Em$U2V zbm5=wpr6Zy|7iz*^%GkDmj9xIzq$wAU;mp9{y{GM_3wn*>+c>H{zY(|(C)9Eo$)vS zp$`7)Q6qo-YdH9;Jz;hHZXIB07Z|UG)(1m}bga5rQ{Ppjc+Uq~qg@2rbzIvv? z-|`Q3@K=wt`RhO0!CyTa?63c12mc~2{Pq3q_WCR8!hey2zIyh<-~8*}S+(mIcj3R@ zL0?_d@K=AEgTHzP+h6|!4*u#VNBs3a;oz^Hk@466tb>0k7yj2B{7bv=*S`a6Z@>Fp z_y^-=3A?{~*4^LomvZnAb>Uyd!N05v{{{~J)xBm3+)Y|L6 zq6`1-4*Hc`_$N5{S9amApX;#azlsb0@ecY`UHH#%@K?{K`CI?`c@lg6tGn>mzoTpS zui?T!)gk{iUHETy@UP{!0D^uYMzg{YzTsBo@wckC^q* za~1yTz5S(Z?XR-d2gR^mV7~I#zp~{KbO-)*Eni>xZ)9>LZ#e%oEUfAzOujb%CacA& z^-2U$&!4?*y;pfvuMjzSdRj+iSr4D}LI19Bs0KJ{eSI8larK_7`^h}wp!SUHFYTw< z%hnsG{D-K;vh`Bu4CkBZ}kA@+amp`j( zQkhIAj0|Z1+Q9%gkeNqc6Aa60=7~i9tEdiYy;S|pvyR$d=l_Joob;!`KtFe7nPtAT zzy7TD*#G|X7Yn?yW-oDQz?pKQI?{yP7ve>&->K))gU^+EgV z&w5WGOamMv@q-T0_^k97TJN>L)>muFOnunt!!hWi`ZdmGtJm~l#zNk#f_?(sXS>az*{e+pJE-o>XUyP=%X4k(@_6Ns^+7|n||r(zY$f(j^;Y**& z9|nDO&BXrF`Pa-_`IDjV!E<6tf0gB={cl(Pn&m~Im=?lON_7i*)t@Ss5inKy>i$Kq z`c(c1=s$5wD)XK8*VvnW2J}ObVWqF`U)28D%1`=9&`;AsIBI{5z3B(NCBn&wA4-3% z<)r;@ryo^86e6_{j@n;iZ~7^-L|+dBrJrg!Y5#2c)c;eU-#`oDD5>6p-t?;^iDep0 zmA<-v(O>5r}`3gfj9j@n;iZ~A-Zihep4R!Tq3a?<{{(+{d73bQ2{Eob~S@TQ+KUmTN*h^5ls zWWDvOPyKH`=B+^EZv<|jw8!7gzVxa7S3y6}@s|kwIMkmi|2Mw$sr;TQQg4Bl{{()J z*Ixc@zVxa5!O(v}vSI$!?Wcja_7BDnm0Y)#e}^x9Dt|xd|4$3yh~y0QrXQ3lmiF@R za-shU^aHK`CJy@Fy3n6`hvkoR(BJDzpV~hK`t40k%bOtj?5+NjpkD>;s`~$TF7!QB zMW_eq`KzC{PTD`h7XQ?_qV~UC{ELIWx@Xh=(*BxxD}T@i=-bv`2Q4S?rKYNF6u z3*o5!HTI?-xmEP-@h9DK(*C#8p9cMxwUA5w)NP`#pZ`|vukK&;s!!uTUv&`-bo{04 z5`FvlKk7oiB=iGaf22b{T8fc*{-y3;^tb$t@38z$c4sgD319j&{v)8TuDRG>I{%t^ z>wj_3kIE;Os{Kz|Z?%86{zvWK11J80u0PY#CF5zxsM0^}LVphQ%fVkCs5-o!|BgN^ zmWej~UtH*~hkl^_?>h9OZTcB5^wXgq==h5|BIUP_zu#TxXWXIwcnAHnF7&TMU+r(( zU;eg#D)dv}toonYtMl6bY5dixDUNOJ;x>Pcy^X)Qy@|AlLbU!eUj@h8!@_rI$y^vgpZ2g!`}ucT@| zdL92M(6_h$AHMXd{-YrL{4J@>ce?&G_E!IqCncxXkzrN;*L~?z{jY(3p!+`&cz`Pc z8CCk~{zaYtZ0%3w-wXZcbU|^{{u+BL|1{{^%YW0CK9yhp9oazp-}qmo;_dxU-M^^w zpRN3)->kNjFwpu>g}%N1Rp<3rzb*6wEx+g2?B!SYFZ!z=1AT16>E`x({MCVeB0N?9 zQ}-`=)u;Y99{O{Qvb@p$8hh)1DbTm?|K;|jPwihG9VgKFKjNGvX1$^_|CRm!AYb}a z{xIlwg}*-dU4H1>$Dg`?QTyMn|1X4op#3lTf|MW0WX^y2UFd&uhx&UR^ws@~{+53e z^b6^V$5Bdk3wrB+9y|bRFTc8f(O>=jcc@>-L0{d!=vANkUk3D_y;aoAciLZLZ{?4M zzWw}H-M^^)Z`c3AvG5DkLOA+c|JyE!WiM{Z2BR- z^r`+wL;rCtjHAxK#@^~b0s1QDsFx~#319jY|F%NEinyD9k(~MLO+WF9SWbhb(pT4- zb^dj|n(MEme*yY|uK$9rihhbsU!6<)t6#dFIF>Obl{b>=E$FTMtDqkZQ&s*@U;5Pk zVbE`PODgl7&cDXq^n8tw} z{nh^n`h{*uWxn%QKMwl#_*=<^{(9&KI{zm@KiXFQDlYWXp&uMz`L8>aU){gxwf?F9 zWkCM~>1%(Dy|sT8EIcycpxR&AYX95yzistJA<*&%;|Ij;?XT`%^jAL}`h5S5l|+`8 zxAKQUKLysR{B?ZkQ~d{H<1Ntmp8@?yWLW9fbD`Q zf_~pDt?mE#3%WYYcyzEnVnGLBDf=`pE@EKTHmp>)*$G z>BCOTeFFVJ``?LsB|&@qiSVUQ?Y|BBt#pQP)bp3d-o}3wEd1>8|4A46A-M7JcK5$} z(@!iU<+tDe(%P3k)&E=24|M$(i9hgRkH1g*(x>`Qfqn~J(Jt$MH1zG~U#cy1``vE+ za|Zf>_P_DPviHAsF7)qeA_Wdqf3Jgn2N(Lqp&#h{8&X`#Z*TujF7zwkp?-veev~hL z>VHk3KPbTdmk9l2j4L(&clM=EI*7pDU+bW0p@9sjsDf9y! z|JNPL-@}Felh6;e|5YiKz5l7Tu)py?8v0EGtp5b)r`yI~Z(sTpf0Cj980qW$YwT_O zN0gTGt35CEQscj`3;hh}2YUVycfaVXb1tnP??S%`D!z@ln}7W+e=`1HnEm`G!I!>d z*XX7y6^1k8Ma@-5x86EH7{Mp9uXlSgY|j*_S@Ge=76? zU4JyGCJkzj|5JSFQ~UpWhvkpLA1b$(f0_&Zdf50yHcXvcNo09>tN#%EfOHzHRsBzQ zp&t+ZK-d52(6^8O87}n4K|j#*uh5#=0@>?-p$q->cc`D>pufn4{x0YT zdj6dZeS803>_Yz}^vju+mN!-sSzg}SKLh&q^RE8$>6C6M84oo6 zg~UpN_V~BXmp+xh3G@@Pw6=@*1AY7T=k+f1Q=q>gK>cZPQvP5xn5zE`F7)HD@$^Q3 z`pF5ca{rb-)7y6M1JTU?4MaBHWst~^VHE_fJa8in|ogaz(JcS zANA_&#Xow7e6N3pSw7v;*ZyixUcJ}rG;P;pkG#WeXc|jhS)a83Aov>tyy*jf=Gss5 zF&4;UeURGH)ZDM*X`$| zt@0MUS8^C~zZ~~khV>l$)#KsVA`(OVTjjjG8B#h6HubKlIzM&tPe=aMn#ca4kDmVn DeJyqg literal 0 HcmV?d00001 diff --git a/src/main.cpp b/src/main.cpp index 6f0ca32..1c7541c 100644 --- a/src/main.cpp +++ b/src/main.cpp @@ -1,7 +1,63 @@ +#include +#include +#include #include +#include +#include +#include -int main(int argc, char** argv) +/* Include definition for RS485 ioctls: TIOCGRS485 and TIOCSRS485 */ +#include + +int main() { - std::cout << "Hello" << std::endl; - std::cout << "Hello" << std::endl; + uint8_t send_buffer[14] = {0x7E, 0x01, 0x02, 8, 0x01, 0x02, 0x03, + 0x7D, 0x5E, 0x05, 0x06, 0x07, 0x08, 0xFF}; + + /* Open your specific device (e.g., /dev/mydevice): */ + int fd = open("/dev/ttyAMA1", O_RDWR); + if (fd < 0) + { + /* Error handling. See errno. */ + fprintf(stderr, "Failed... error:%s", strerror(errno)); + } + + struct serial_rs485 rs485conf; + + /* Enable RS485 mode: */ + rs485conf.flags |= SER_RS485_ENABLED; + + /* Set logical level for RTS pin equal to 1 when sending: */ + rs485conf.flags |= SER_RS485_RTS_ON_SEND; + /* or, set logical level for RTS pin equal to 0 when sending: */ + rs485conf.flags &= ~(SER_RS485_RTS_ON_SEND); + + /* Set logical level for RTS pin equal to 1 after sending: */ + rs485conf.flags |= SER_RS485_RTS_AFTER_SEND; + /* or, set logical level for RTS pin equal to 0 after sending: */ + rs485conf.flags &= ~(SER_RS485_RTS_AFTER_SEND); + + // /* Set rts delay before send, if needed: */ + // rs485conf.delay_rts_before_send = ...; + + // /* Set rts delay after send, if needed: */ + // rs485conf.delay_rts_after_send = ...; + + /* Set this flag if you want to receive data even while sending data */ + // rs485conf.flags |= SER_RS485_RX_DURING_TX; + + if (ioctl(fd, TIOCSRS485, &rs485conf) < 0) + { + /* Error handling. See errno. */ + } + + /* Use read() and write() syscalls here... */ + ssize_t rc = 0; + write(fd, send_buffer, 14); + + /* Close the device when finished: */ + if (close(fd) < 0) + { + /* Error handling. See errno. */ + } }